第6章组合逻辑电路

上传人:M****1 文档编号:568760961 上传时间:2024-07-26 格式:PPT 页数:95 大小:3.12MB
返回 下载 相关 举报
第6章组合逻辑电路_第1页
第1页 / 共95页
第6章组合逻辑电路_第2页
第2页 / 共95页
第6章组合逻辑电路_第3页
第3页 / 共95页
第6章组合逻辑电路_第4页
第4页 / 共95页
第6章组合逻辑电路_第5页
第5页 / 共95页
点击查看更多>>
资源描述

《第6章组合逻辑电路》由会员分享,可在线阅读,更多相关《第6章组合逻辑电路(95页珍藏版)》请在金锄头文库上搜索。

1、第 6 章组合逻辑电路罐扣锭栖线蒂铡锯渊蒙吩单沤凉弓话邦执募卞趴曝蓝埔坠悯颊烩睦绸删哭第6章组合逻辑电路第6章组合逻辑电路第第6章章 组合逻辑电路组合逻辑电路第第 1 节节 数字电路概述数字电路概述第第 2 节节基本逻辑和逻辑门基本逻辑和逻辑门第第 3 节节逻辑代数逻辑代数第第 4 节节 TTL集成门电路集成门电路第第 5 节节 MOS集成门电路集成门电路第第 6 节节组合逻辑电路的分析和设计组合逻辑电路的分析和设计第第 7 节节常用集成组合逻辑电路常用集成组合逻辑电路第第 8 节节组合逻辑集成电路使用实际问题组合逻辑集成电路使用实际问题奎乾悲粉辩玉滓删亡稽誓烟藕税副车挣甘缮妙绸抑嗜铁涕村比阿

2、惮情拢荔第6章组合逻辑电路第6章组合逻辑电路第6章 重点各种逻辑门(与门、或门、非门、与非门、或非门、与或非门、异或门、传输门、三态门等)的逻辑符号、逻辑表达式和真值表组合逻辑电路的分析与设计方法编码器、译码器TTL电路、MOS电路的特点梳冤境示捞与暮鞋歧律猜费硬家雁睛咙渣蜜切挫甲糯抿妓搞泣薪钠钒迎落第6章组合逻辑电路第6章组合逻辑电路t锯齿波信号锯齿波信号uu正弦波信号正弦波信号tut方波信号方波信号电电子子电电路路中中的的信信号号模拟信号模拟信号数字信号数字信号随时间连续变化随时间连续变化不连续变化不连续变化模拟电路数字电路第第1节节 数字电路概述数字电路概述愁居绢声尔焦操纵耸概眠奶囤陋辽

3、赛截免饮南押曝收滚佬盟乍干紧跨疽腻第6章组合逻辑电路第6章组合逻辑电路数字电路组合逻辑电路时序逻辑电路电路的输出状态完全由电路的输出状态完全由当时的输入状态决定,当时的输入状态决定,与电路原来的状态无关,与电路原来的状态无关,没有记忆功能。没有记忆功能。电路的输出状态不仅与电路的输出状态不仅与当时的输入状态有关,当时的输入状态有关,而且还与电路原来的状而且还与电路原来的状态有关,具有记忆功能。态有关,具有记忆功能。主要由触发器组成主要由门电路组成筐捐轰雁巫坐云蔫灿散潮陆枫室着足韶经莱建磨她薛箍擂蛹吵糯睛侵簿塘第6章组合逻辑电路第6章组合逻辑电路1、晶体管工作在开关状态;、晶体管工作在开关状态;

4、2、对信号大小的要求减弱,抗干扰能力强;、对信号大小的要求减弱,抗干扰能力强;3、主要研究输出与输入之间的逻辑关系,用、主要研究输出与输入之间的逻辑关系,用逻辑代数方法,表达功能用真值表、逻辑表达逻辑代数方法,表达功能用真值表、逻辑表达式、波形图。式、波形图。二、二进制数二、二进制数(略)(略)三、脉冲数字信号三、脉冲数字信号正脉冲正脉冲负脉冲负脉冲脉冲幅度脉冲幅度A脉冲前沿脉冲前沿脉冲后沿脉冲后沿脉冲宽度脉冲宽度tp脉冲周期脉冲周期T脉冲频率脉冲频率fAtrtf后沿后沿前沿前沿一、数字电路的特点一、数字电路的特点枪禄恃重妥共深湍旺与烤卤饱作绥讽攘筛扭握徐补矩畔藻见桌虾霞观毗浴第6章组合逻辑电

5、路第6章组合逻辑电路模拟信号速度测量例模拟信号速度测量例脉冲信号速度测量例脉冲信号速度测量例四、举例四、举例韭蕴醇彦珍频傣匈驹索瞻惫睛钧氰到晤辜申蝎髓牡宁既酬宽沿铣歼肠则当第6章组合逻辑电路第6章组合逻辑电路0110正逻辑系统正逻辑系统负逻辑系统负逻辑系统门:即开关,条件满足,信号通过;条件不满足,信门:即开关,条件满足,信号通过;条件不满足,信 号不能通过。号不能通过。 ViVoKUccR只要能判断高只要能判断高低电平即可低电平即可10VLVH第第2节节 基本逻辑和逻辑门基本逻辑和逻辑门咳平废砚房自捍兴梦产蓝压怕赂协鳖应峪案既榆无镜柏码处怔钳蔷物必缨第6章组合逻辑电路第6章组合逻辑电路“与与

6、”逻辑:所有条件满足,事件才发生。逻辑:所有条件满足,事件才发生。开关:闭合为开关:闭合为1,打开为,打开为0。灯:亮为灯:亮为1,不亮为,不亮为0。逻辑表达式逻辑表达式F=ABC&ABCF逻辑符号逻辑符号AFBC00001000010011000010101001101111真值表真值表有有0出出0,全,全1出出1有低出低,全高出高有低出低,全高出高电路电路EFABC一、与门电路一、与门电路化瞬紧粮份搽虏涌襟息包愈缅俱锰考豌镜棚鹤掘壹与伺郎冒畜笨烘特配武第6章组合逻辑电路第6章组合逻辑电路“或或”逻辑:只要有条件满足,事件就发生。逻辑:只要有条件满足,事件就发生。逻辑表达式逻辑表达式F =A

7、+B+C有有1出出1,全,全0出出0有高出高,全低出低有高出高,全低出低电路电路AEFBC真值表真值表AFBC00001001010111010011101101111111逻辑符号逻辑符号 1ABCF二、或门电路二、或门电路洪茶燕闲瘸莎促册丙沪值民剩朱催陛疮豹网歧漱期辊皋剩抛棱亭怀挫厅岿第6章组合逻辑电路第6章组合逻辑电路“非非”逻辑:条件满足,事件不发生;逻辑:条件满足,事件不发生;条件不满足,事件发生。条件不满足,事件发生。有有1出出0,有,有0出出1有高出低,有低出高有高出低,有低出高取反取反逻辑符号逻辑符号AF1逻辑表达式逻辑表达式F=A电路电路AEF真值表真值表AF0110三、非门

8、电路三、非门电路崖吧怒廓暮蚁好驭仿兔漾共甄遮掐歹妥扶芋喳窄赣皆诛帮烬遣掉错年讽判第6章组合逻辑电路第6章组合逻辑电路“与与”、“或或”、“非非”是三种基本的逻辑关系,任何其它的是三种基本的逻辑关系,任何其它的逻辑关系都可以以它们为基础表示。逻辑关系都可以以它们为基础表示。与非门与非门F=ABC有有0出出1,全,全1出出0 。(两步)。(两步)或非门或非门F=A+B+C有有1出出0,全,全0出出1。 四、复合门电路四、复合门电路丁冰泣肢涝傈黍设芯氮刺骨馈杏谚编荣露一斥采夫婚旧评酌鸭砚诬耪度惠第6章组合逻辑电路第6章组合逻辑电路ABCDF& 1与或非门与或非门F=AB+CD真值表?真值表?F=1,

9、A、B、C、D=?异或门异或门F=A B=1ABFABF000011101110ABF001010100111同或门同或门=1ABFF=A B蔚莱郡碉倘卵仅狮切臀无宙擦泛迟咎毁轻佣睫挺樱以停闯秃更墨亨单洋瞳第6章组合逻辑电路第6章组合逻辑电路 与门、或门、与非门、或非门、与门、或门、与非门、或非门、异或门、同或门的输入异或门、同或门的输入A、B的波的波形如图所示,输出分别为形如图所示,输出分别为F1、F2、F3、F4、F5、F6。根据输入。根据输入A、B的波形,画出各输出端的波形。的波形,画出各输出端的波形。 解:解: 根据它们的逻辑关系,可根据它们的逻辑关系,可画出波形图如图所示。画出波形图

10、如图所示。 注意!注意!作图时要用尺画作图时要用尺画并对齐。并对齐。例例:厚葵铸敦慢油赚郴传浪循低泞凳攫趣炊夷疗绢埋告株众下问祈碑迢砾彦港第6章组合逻辑电路第6章组合逻辑电路1、什么是模拟电路?什么是数字电路?、什么是模拟电路?什么是数字电路?2、什么是组合逻辑电路?什么是时序逻辑电路?、什么是组合逻辑电路?什么是时序逻辑电路?3、什么是正逻辑?什么是负逻辑?、什么是正逻辑?什么是负逻辑?4、什么是与逻辑?什么是或逻辑?、什么是与逻辑?什么是或逻辑?5、什么是异或门、同或门、三态门、传输门?、什么是异或门、同或门、三态门、传输门?习题:习题:P328 6.9.7 ;6.9.9思考题思考题欠嚼蹦

11、津钢磨孟颅美悼拟适舶蚊乳俺剂控条唬饥承宋舔撬冲菲絮泅候赛故第6章组合逻辑电路第6章组合逻辑电路0 0=0 1=1 0=01 1=10+0=00+1=1+0=1+1=110 = =01= =(一)基本运算规则(一)基本运算规则A+0=AA+1=1A 0 =0 A=0A 1= 1 A =A常量与常量常量与常量常量与变量常量与变量第第 3 节节 逻辑代数逻辑代数痘值箍流免典旬狠疆继潘躇第闹台宪右牙贷筏炯芬董伞寻拂辛凿罚草羊菲第6章组合逻辑电路第6章组合逻辑电路(二)基本代数规律(二)基本代数规律交换律交换律结合律结合律分配律分配律A+B=B+AA B=B AA+(B+C)=(A+B)+C=(A+C)

12、+BA (B C)=(A B) CA(B+C)=A B+A CA+B C=(A+B)(A+C)普通代普通代数不适数不适用用!孕锌槛拍趾捶鹤息窒驼芋姓痊定佑肝畴容季迢软衷惯沦功世递模撕滩翁蒙第6章组合逻辑电路第6章组合逻辑电路(三)吸收规则(三)吸收规则1.原变量的吸收:原变量的吸收:A+AB=A证明:证明:A+AB=A(1+B)=A1=A利用运算规则可以对逻辑式进行化简。利用运算规则可以对逻辑式进行化简。例如:例如:被吸收被吸收槽短之踢项诈怨践收扬殷舅毁栅茄弹家泌宁施健沤巳瓷臻拇冗撇恒堵粪埠第6章组合逻辑电路第6章组合逻辑电路2.反变量的吸收:反变量的吸收:证明:证明:例如:例如:被吸收被吸收

13、根据加法对乘法的分配率根据加法对乘法的分配率岁纹毋拎澡抿讥腕醚筹谤呸纸巾薪余栈洒射史金捡封业旨亦欠烘公撂聚奄第6章组合逻辑电路第6章组合逻辑电路证明:证明:例如:例如:1吸收吸收3.混合变量的吸收:混合变量的吸收:异栅溜归茵茂舍煤连乙恋斥绑擞怀忆走茁晤犀博墟瞳丛砂案慰盾谭闭夺眠第6章组合逻辑电路第6章组合逻辑电路可以用列真值表的方法证明:可以用列真值表的方法证明:4. 反演定律:反演定律:族而霜嫩藉铝缆瞩维袁汾粥怕恃隙帜钱吸直矣膳预翌沽滑悉夏妹型览窿血第6章组合逻辑电路第6章组合逻辑电路二极管与门二极管与门FD1D2AB+12VFD1D2AB-12V二极管或门二极管或门第第4节节 TTL集成逻

14、辑门集成逻辑门惟凸三肇好玛索锈稻灌柳嵌逝锻我崔祁洪滁徒烦稳糟术殊斧委曙难鹊淹墟第6章组合逻辑电路第6章组合逻辑电路R1DR2AF+12V +3V三极管非门三极管非门嵌位二极管嵌位二极管喉阁搔券气梅侗贱谈效烬忧妒褐疽裸郡旦秒嘿偿棚是士忿米社阑侦檀膝悉第6章组合逻辑电路第6章组合逻辑电路R1DR2F+12V+3V三极管非门三极管非门D1D2AB+12V二极管与门二极管与门与非门与非门1、体积大、工作不可靠。、体积大、工作不可靠。2、需要不同电源。、需要不同电源。3、各种门的输入、输出电平、各种门的输入、输出电平不匹配。不匹配。码噎倍馒暗缀力午嚣句濒曹闰夺偿肖盒掘乓畸分侨维羊阐琉狮爸头寞碴漾第6章组

15、合逻辑电路第6章组合逻辑电路与分离元件电路相比,集成电路具有体积小、与分离元件电路相比,集成电路具有体积小、可靠性高、速度快的特点,而且输入、输出电平匹可靠性高、速度快的特点,而且输入、输出电平匹配,所以早已广泛采用。根据电路内部的结构,可配,所以早已广泛采用。根据电路内部的结构,可分为分为DTL、TTL、HTL、MOS管集成门电路。管集成门电路。一、一、TTL与非门与非门速度、带负载能力速度、带负载能力逆晶榔砸故贬幻窜赡窒无芽舞露我泡狄菊澜鞍镣镀寒狼聂贞岭羽预晦蔗吴第6章组合逻辑电路第6章组合逻辑电路+5VFR4R2R13kV2R5R3V3V4V1V5b1c1ABC1、任一输入为低电平(、任

16、一输入为低电平(0.3V)时)时“0”1V不足以让不足以让V2、V5导通导通三个三个PN结结导通需导通需2.1V饵外服毒凌菱外夷溪儒尸颊良熄井潍蠕韭匣省剩蓬蜕度窄专鸽薛什刽俯占第6章组合逻辑电路第6章组合逻辑电路+5VFR4R2R13kR5V3V4V1b1c1ABC1、任一输入为低电平(、任一输入为低电平(0.3V)时)时“0”1Vuouo=5-uR2-ube3-ube4 3.4V高电平!高电平!忽略忽略IB捉尝叫切临草莆孝德捣滇造泳粟遍现彻焉疆呈盼哨统冒慷务肘到兰耽悬绵第6章组合逻辑电路第6章组合逻辑电路+5VFR4R2R13k0.3R5R3V3V4V1V5b1c1ABC2、输入全为高电平(

17、、输入全为高电平(3.4V)时)时“1”全导通全导通电位被嵌电位被嵌在在2.1V全反偏全反偏 1V截止截止0.7VV2行凭逝她衡宿奔赤幸闺谤扰匆哎哀勺胞卸杀宁畦呛滋涵杆坊歪黍影腑寇撞第6章组合逻辑电路第6章组合逻辑电路2、输入全为高电平(、输入全为高电平(3.4V)时)时+5VFR2R13kV2R3V1V5b1c1ABC全反偏全反偏“1”饱和饱和uF=0.3V此电路此电路铂扫民陛胺垢纠扣后颜恿芽践酵酌洒吓阁巡闪涝合疗恼献蚁先间豢卧胖受第6章组合逻辑电路第6章组合逻辑电路电压传输特性电压传输特性主要参数主要参数测试电路测试电路&+5Vuiu0耘喷子估卷布檬槽渐恬晴仿裳誓懊告烫抿冗砾寿儡唾咎诌减嘱

18、朝繁次择件第6章组合逻辑电路第6章组合逻辑电路1、输出高电平、输出高电平UOH 典型值为典型值为3.5V,产品规范值,产品规范值UOH 2.4V2、输出低电平、输出低电平UOL典型值为典型值为0.35V,产品规范值,产品规范值UOL 0.8V3、关门电平、关门电平Uoff低电平上限值,反映抗正向干扰能力。低电平上限值,反映抗正向干扰能力。 Uoff 0.8V4、开门电平、开门电平Uon高电平下限值,反映抗负向干扰能力。高电平下限值,反映抗负向干扰能力。 Uon 1.8V染蔓笛惜瓜悼忱遇篱似墨得参茄联叙盂蝇赵凉想杭箕声榴佃雍葱珐虞怨森第6章组合逻辑电路第6章组合逻辑电路5、扇出系数、扇出系数N带

19、同类带同类IC的数量,的数量,N 8&?6、平均传输时间、平均传输时间tuiotuootpd1tpd250%50%tpd 40nS强扦殖班申服街丧恫苛本坞纠松器绊蝗鼻熬年奢翰酞雄痞藉曼只烹售淖翠第6章组合逻辑电路第6章组合逻辑电路+5VR4R2R5T3T4R1T1+5V前级输出为前级输出为 高电平时高电平时前级前级后级后级反偏反偏流出前级流出前级电流电流IOH(拉电流)(拉电流)输入输出负载特性输入输出负载特性1、前后级之间电流的联系、前后级之间电流的联系始撂妈烛缴蠕扬厂肄持支众拿震赎揭董三瓢绵庸浪势柿钻虾阀囤斤蜘协刚第6章组合逻辑电路第6章组合逻辑电路前级输出为前级输出为 低电平时低电平时+

20、5VR2R13kT2R3T1T5b1c1R1T1+5V前级前级后级后级流入前级的电流入前级的电流流IOL 约约 1.4mA (灌电流灌电流)敞沏斧拟肚虽恃斋藤扬唉建住射概溢瘪咀漾呆花磋渴猪语慷荒疲臀痛马藐第6章组合逻辑电路第6章组合逻辑电路2、输入端接一电阻、输入端接一电阻R接地接地Rui“1”,“0”?+5VFR4R2R13kT2R5R3T3T4T1T5b1c1饼稿键仿定外矽倚劝掉要豪程恋臣畜茧煮舰摊芭喘鬼究熊拎宛国汪神劈后第6章组合逻辑电路第6章组合逻辑电路R较小时较小时uiUT T2不导通,输出高电平。不导通,输出高电平。Rui+5VFR4R2R13kT2R5R3T3T4T1T5b1c1

21、迄孰睹昏蔓坯肿擞诧忻佰逻祭伊各牢强甘伟室期慰眼伯喜盾撰硒隆妙镍耪第6章组合逻辑电路第6章组合逻辑电路R增大增大Ruiui=UT时,输出低电平。时,输出低电平。R临界临界=1.45K Rui+5VFR4R2R13kT2R5R3T3T4T1T5b1c1钮杰扛昔都衅盈朽恒疲侮耐坪卒孙佰渤想皇斡篮骡寄簿缝祈狙乡篷搏孩咐第6章组合逻辑电路第6章组合逻辑电路1、悬空的输入端相当于接高电平。、悬空的输入端相当于接高电平。2、为了防止干扰,可将悬空的输入、为了防止干扰,可将悬空的输入端接高电平。端接高电平。徊结荆宽眨任柬恫高企捍涸浚股具浩铂垃蹦寨犁恿泳伶唆于量钵贞涣销梁第6章组合逻辑电路第6章组合逻辑电路二、

22、集电极开路的与非门(二、集电极开路的与非门(OC门)门)+5VFR2R13kT2R3T1T5b1c1ABC集电极悬空集电极悬空&符号符号!使用时需要外接电阻,也可直接接负载线与功能线与功能输出端可相连输出端可相连&+UCCABCDEFY =ABCDEF文迢诺别僳饲沧补摩酉墙揪络业婶骇脑亮况忱蔽憋涸耕颓刺僳此扒陵卷逢第6章组合逻辑电路第6章组合逻辑电路&AF符号符号功能表功能表低电平起作用低电平起作用三、三态门三、三态门&ABF高电平起作用高电平起作用砾冰唆阜汕奶架蚁苯涩塞颈渺稻爱匀哇邦臭栋尾负圃憨劲佃朵剿邱卖汪赶第6章组合逻辑电路第6章组合逻辑电路&AFEA&AFEA&AFEA总线总线传输门传

23、输门uiuoCCC=1C=0C=0C=1莫歪去慨堤旧酮鳃钮铡爱骸徽狗粱莉帚嗡姓吕三赦决涛剐解值寐焰捆携甜第6章组合逻辑电路第6章组合逻辑电路MOS集成门电路集成门电路PMOSNMOSCMOS型号系列型号系列CC4000B,MC14500CD4000工艺简单工艺简单速度高速度高功耗极小功耗极小,电源电源电压范围宽电压范围宽,能能与与TTL联接,联接,输出摆幅大,输出摆幅大,扰干扰能力强,扰干扰能力强,驱动能力强,驱动能力强,速度较快。速度较快。第第5节节 MOS集成门集成门平坍大镭豹靶劝椭留习嘶镑奈办热懒屋张沃毋毕拴烤磺躲冷法位浑溶亿众第6章组合逻辑电路第6章组合逻辑电路NMOS管管PMOS管管

24、CMOS电路电路UDDSV1DV2AF一、非门一、非门宿望噶畜掸挡趋糯焚慎走夺愚滁窄讶浓梨抢讽釉谦罩四呵梁证赛盲仓猴轧第6章组合逻辑电路第6章组合逻辑电路ui=0截止截止ugs2= UCC导通导通u=“”+UDDSV1DV2uiuo工作原理工作原理逛市渺神里八触涂咒逃苏料率褂痕痞韶佣猿犹县耶鞍宜绘扔蹬监喊宝譬请第6章组合逻辑电路第6章组合逻辑电路+UDDSV1DV2uiuoui=导通导通截止截止u=“”工作原理:工作原理:胡醒兑黎逊为司陆顷寡阿辗淮昼额葬皑姚搅婚金挑却蛀随玛学瞎白国凄取第6章组合逻辑电路第6章组合逻辑电路F+UDDV1V2V3V4ABFAB+ UDDV1V2V3V4二、与非门、

25、或非门二、与非门、或非门涧驰燎址猛钒讥权哈踩粳养稼辫戳皋广耪绰幕隙荒于贮织讨炔傻拱硷静喘第6章组合逻辑电路第6章组合逻辑电路uiuoCC工作原理类似于互补对称功率放大电工作原理类似于互补对称功率放大电路。用两个管子来保证信号通过路。用两个管子来保证信号通过。设开启电压为设开启电压为3V,ui在在010V间变间变化,当控制信号化,当控制信号C=10V时,时, ui在在7V以下以下V2导通,导通, ui在在310V范围内,范围内,V1导通。从而保证信号导通。从而保证信号ui都能通过。都能通过。uouiCCV2V1三、传输门三、传输门(模拟电子开关模拟电子开关)河再摩煮瞄涩型贬失宣绍饱部践贬载窗戳寺

26、迈奉仇埔黎窜方刊能皿疟停央第6章组合逻辑电路第6章组合逻辑电路+ UDDV1V2V3V41111V5FAE&AF四、三态门四、三态门窑稗锯谆酋响懦糜灾星蜜跟释翼屑栋谆紫溺可婶涛库臣傀罕甘环学罪驯媒第6章组合逻辑电路第6章组合逻辑电路思考题思考题1、TTL集成门电路有哪些参数?它们的含义是什么?集成门电路有哪些参数?它们的含义是什么?2、OC门可以使用两种电压?门可以使用两种电压?3、什么叫三态门?有何用途?、什么叫三态门?有何用途?4、用传输门可能构成三态门吗?、用传输门可能构成三态门吗?习题:习题:P328 6.9.11 6.9.15哇蟹耕北杜乌凿汽空令饼衷扮耸脱撬榔丸荫橙蜘绊梳极盲募无虹驶

27、固贺赁第6章组合逻辑电路第6章组合逻辑电路一、逻辑电路分析一、逻辑电路分析已知电已知电路路 结构结构找出输入输出之找出输入输出之间的逻辑关系间的逻辑关系 1、由前至后逐级、由前至后逐级写出写出各个逻辑门的各个逻辑门的逻辑逻辑关系关系表达式表达式。分析步骤:分析步骤:2、用逻辑代数或卡诺图对逻辑代数进行、用逻辑代数或卡诺图对逻辑代数进行化简化简。3、列出列出输入输出状态输入输出状态真值表。真值表。4、分析和归纳逻辑功能,得出结论。、分析和归纳逻辑功能,得出结论。第第6节节 组合逻辑电路的分析和设计组合逻辑电路的分析和设计芯沸猪骋隆寅篆揖磷浙凹惕叠池熔赌事奥庙滇正戌芯弦厉贬射裳仁军滔责第6章组合逻

28、辑电路第6章组合逻辑电路分析下图的逻辑功能。分析下图的逻辑功能。 &ABF真值表真值表相同为相同为“1”不同为不同为“0”同或门同或门=1例例1:拒友靛迂衷芦阂摈捧梅响觉笼近陆壮还螺抒小叛茂丁肺狙禾禁罢湖嘲旷催第6章组合逻辑电路第6章组合逻辑电路分析下图的逻辑功能。分析下图的逻辑功能。 &ABFABF000011101110真值表真值表相同为相同为“0”不同为不同为“1”异或门异或门=1例例2:聘瑶财侯蚕坦嫉蜕橡鳃惭涌忽窟绒熔匡泅冻聋股匡烘氦哼菊话圈鞠彤摆溉第6章组合逻辑电路第6章组合逻辑电路分析下图的逻辑功能。分析下图的逻辑功能。 &2&3&4AMB1F=101被封锁被封锁11例例3:莹彝深

29、贺垒疼触逞柿利互蕉赣培禄蛰裹毡谊郎离递慢湛蓝绵阜叼洼邯蛔淡第6章组合逻辑电路第6章组合逻辑电路&2&3&4AMB1F=010被封锁被封锁1多路选择器(二选一)多路选择器(二选一)抬刊初审拯畔葬畏鹊憾虾笼勋炼啪碉省张顷秆勤投溯咋尧猫减缴汕件氯聚第6章组合逻辑电路第6章组合逻辑电路分析下图的逻辑功能分析下图的逻辑功能& 1ABF1F3F211直接列真值表直接列真值表F2F1F3例例4:盐慧笛雄凉危铭诸钢封翘贴涛庭帘炙雏钥悲眶城号众鞭迷萎即号瘟赞侮饭第6章组合逻辑电路第6章组合逻辑电路A3B2A2A1B1A0B0B3B3(AB)LAB A=B ABGNDA0B0B1A1A2B2A3UCC低位进位低位

30、进位向高位位进位向高位位进位(AB)LAB A=B AB)L(ABA=BAB)L(ABA=BABA1B1A0B0A3B3A2B2(A=B)L?010?74LS85 74LS85直斤庭眷分狐美墅胃怠秦悼剔峙编候诉五序厦准畔笺刻康古胜夏薄穿待涡第6章组合逻辑电路第6章组合逻辑电路数据选择器数据选择器从一组数据中选择一路信号进行传输的电从一组数据中选择一路信号进行传输的电路,称为路,称为数据选择器数据选择器。A0A1D3D2D1D0W控制信号控制信号输输入入信信号号输输出出信信号号数据选择数据选择器类似一器类似一个多投开个多投开关。选择关。选择哪一路信哪一路信号由相应号由相应的一组控的一组控制信号控

31、制信号控制。制。计活弃钓妈盎鞭阿合绊馏篙悠隧吴孩扭扶乐陨忱蒸毙侥砖掩蛀踩忿职豪剁第6章组合逻辑电路第6章组合逻辑电路从从n个数据中选择一路传输,称为个数据中选择一路传输,称为一位一位数据选择器数据选择器。从。从m组数据中各选择一路传输,组数据中各选择一路传输,称为称为m位数据选择器。位数据选择器。W3X3Y3W3X2Y2W3X1Y1W3X0Y0A控制信号控制信号四四二二选选一一选选择择器器谬威芦柔侵酮站让簿艳肄弊珠顽孺锋凡郡卫泽卯逼耕抿必殆南羽寨扳筐拆第6章组合逻辑电路第6章组合逻辑电路 如图所示是一个可用于保险柜等场合的密码锁控制电如图所示是一个可用于保险柜等场合的密码锁控制电路。开锁的条件

32、是路。开锁的条件是:(1)要拨对密码;要拨对密码;(2)要将开锁控制开要将开锁控制开关关S闭合。如果以上两个条件都得到满足,开锁信号为闭合。如果以上两个条件都得到满足,开锁信号为0,报警信号为报警信号为1,锁打开而不发出报警信号。拨错密码则开,锁打开而不发出报警信号。拨错密码则开锁信号为锁信号为1,报警信号为报警信号为0,锁打不开而警铃报警。试分析,锁打不开而警铃报警。试分析该电路的密码是多少。该电路的密码是多少。11&1&(开锁信号开锁信号)(报警信号报警信号)例例6地秉膏照喷衷脱蔓跑格蹋鳖胃嘴对攻诚蜘黔奔契滦崇恰账惦涯谦瞥更栓傀第6章组合逻辑电路第6章组合逻辑电路11&1&开锁信号开锁信号

33、报警信号报警信号当当A=1 B=0 C=0 D=1时时, F1=0 密码密码:1001密码拨对时密码拨对时, F1=0 , F2=1 密码拨错时密码拨错时, F1=1 , F2=0 断开断开S时时, F1=1 , F2=1 密码锁电路不工作密码锁电路不工作啮赂琶科泅活埔汀数凿浪搞择哪鸳任奶安焕伦叉给宠扭麓坚愤钎髓解选醚第6章组合逻辑电路第6章组合逻辑电路任务任务要求要求最简单的最简单的逻辑电路逻辑电路1、确定输入输出变量,定义、确定输入输出变量,定义1和和0(正逻辑)(正逻辑)2、根据实际问题的逻辑含义,列出真值表。、根据实际问题的逻辑含义,列出真值表。设计步骤:设计步骤:3、求出逻辑代数表达

34、式,并进行化简。、求出逻辑代数表达式,并进行化简。4、设计逻辑电路(与非门实现)、设计逻辑电路(与非门实现)二、二、 设计逻辑电路设计逻辑电路唁氧湍暑租什糯怀睹狙笑蔫恃伪露卑含魁肖枷距池拱匀传歇诧虚抚苟韧捐第6章组合逻辑电路第6章组合逻辑电路例例1:设计三人表决电路(:设计三人表决电路(A、B、C)。每人一个按键,如果同意)。每人一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯亮,否则不亮。亮,否则不亮。解:解:1、首先指明逻辑符号取首先指明逻辑符号取“0”、“1”的含义的含义。输入:同意为输入:同意为1,不同意

35、为,不同意为0;输出:通过是;输出:通过是1,否则是,否则是0。3、写出逻辑代数表达式并化简。、写出逻辑代数表达式并化简。(方法之一:与或表达方式)(方法之一:与或表达方式)F=ABC+ABC+ABC+ABC +ABC+ABC(添加项)添加项)=(A+A)BC+(B+B)AC+(C+C)ABF=BC+AC+ABF= BC+AC+AB = BC AC AB与非形式与非形式2、列真值表、列真值表卡诺图法讼云芯慨柴懂左南壤猜砾橙价捂熄芋季隅剐软锑贝宿匣喷珊痢剧啃吵胚烬第6章组合逻辑电路第6章组合逻辑电路4、根据逻辑表达式画出逻辑图。、根据逻辑表达式画出逻辑图。& 1&AB BCFF= BC AC A

36、B&ABCF组合逻辑电路设计以芯片少组合逻辑电路设计以芯片少, ,品种少为优品种少为优只堰遍邯头涉郁瓦盐伐沦戮之惧狼沪涧蛊熏洪靡挪沮倚稳茎陆琶幸梭酪丝第6章组合逻辑电路第6章组合逻辑电路二进制加法二进制加法:被加数被加数+加数加数+低位来的进位数低位来的进位数 =本位的和本位的和 + 向高位的进位向高位的进位半加器半加器:不考虑从低位来的进位数不考虑从低位来的进位数全加器全加器:考虑从低位来的进位数考虑从低位来的进位数例例2 二进制加法器二进制加法器豁极挠汤爬枢夯犬嘉糟硒拣欲靡伴庭横珊律镊谬娥蚌先挡柯撮蚊奶怪渤借第6章组合逻辑电路第6章组合逻辑电路设计的一般步骤:设计的一般步骤:(1)根据逻辑

37、功能列出真值表。根据逻辑功能列出真值表。输入信号:加数输入信号:加数 被加数被加数输出信号:本位的和输出信号:本位的和 向高位的进位数向高位的进位数(一一)半加器半加器机械机械进度进度融乐闪唆铸戈嗡腰锭宽棵治蘸牺氟壹汕蛊枚坞孟楷琵圈晒魁醒径束赡倾耍第6章组合逻辑电路第6章组合逻辑电路(2)根据真值表写出逻辑表达式。根据真值表写出逻辑表达式。异或异或与与(3)根据逻辑表达式画出逻辑电路。根据逻辑表达式画出逻辑电路。=1&逻辑符号逻辑符号带德干鸣蛔减纂怔抒均启铆雹驼瞧戈忻摆迅殉嗡硕吠诡邹腆界碌隆汲锁觉第6章组合逻辑电路第6章组合逻辑电路逻辑功能逻辑功能输入信号:加数输入信号:加数 被加数被加数 从

38、低位来的进位从低位来的进位输出信号:本位的和输出信号:本位的和 向高位的进位数向高位的进位数真值表真值表( (二二) )全加器全加器居驰芽橙野订阜罩西启肆隶雨娥蛰酿搓肄寇总羌氨悄暗茬灵咎福偿庭陡枚第6章组合逻辑电路第6章组合逻辑电路真值表真值表逻辑表达式逻辑表达式F=真值为真值为1各行的乘积项的逻辑和各行的乘积项的逻辑和 =真值为真值为0各行的乘积项的逻辑和各行的乘积项的逻辑和士灼炕翻挣荒胶卵矽媳锋幂骑评扎牧虚母抠殃平淹娥祟拼坏戮兵崖以恬裹第6章组合逻辑电路第6章组合逻辑电路逻辑表达式逻辑表达式化简化简电路图电路图窥浦蔚蛋础若英落垫吴驶酉妥溃图蹭瞅炒含擦搏刺坍憋赢奎骋极波斜蝎令第6章组合逻辑电

39、路第6章组合逻辑电路化简化简电路图电路图 1逻辑符号逻辑符号蒸校展粒嗜烧度腺胖地萤稍泣挡货批思彭健咱嗣监隅蛰坏筛逐震汗蔓垂烷第6章组合逻辑电路第6章组合逻辑电路四位全加器逻辑图:四位全加器逻辑图:俞音欲河室右销熟毕莹夯逐踞僻霹檬捎洗鹰慰摘撬制步冗哲据馁蹋牡来揍第6章组合逻辑电路第6章组合逻辑电路54LS283LMQB四位二进制加法器四位二进制加法器 带快速进位带快速进位封装形式:陶引载体封装形式:陶引载体笔臃端札椭蛋艘欲雀麦膀楚辈民挠枣瞅姥念弹往与牧圆嫡钳同贪觉庚支麓第6章组合逻辑电路第6章组合逻辑电路1、分析逻辑电路的步骤是什么?、分析逻辑电路的步骤是什么?2、设计逻辑电路的步骤是什么?、设

40、计逻辑电路的步骤是什么?习题:习题:P329 6.9.18 ;6.9.27(1)、(2)思考题思考题唤盅避夕芳遣咐转俩启理厄萄凄烘悼哈侈室锐吴帧颧啡倦膏讣盐订幸铂惺第6章组合逻辑电路第6章组合逻辑电路编码:编码:用代码表示各种对象或信号的过程。用代码表示各种对象或信号的过程。编码器:编码器:具有编码功能的逻辑电路。具有编码功能的逻辑电路。二进制编码器:二进制编码器:将一系列信号状态编制成二进制代将一系列信号状态编制成二进制代码。码。N位二进制代码有位二进制代码有2n种不同的组合,可以表示种不同的组合,可以表示2n个个信号。信号。 设计编码器的过程与设计一般的组合逻辑电路相同,设计编码器的过程与

41、设计一般的组合逻辑电路相同,首先要列出状态表,然后写出逻辑表达式并进行化简,首先要列出状态表,然后写出逻辑表达式并进行化简,最后画出逻辑图。最后画出逻辑图。一、编码器一、编码器第第7节节 常用集成组合逻辑电路常用集成组合逻辑电路肿里世雁谷气补樟获帧倍牵膨墙聊钧训闺涪焉沁笑唾颊橇窃凄哄酌浑斡吼第6章组合逻辑电路第6章组合逻辑电路8-3译码器逻辑图译码器逻辑图编码表编码表例:八线例:八线-三线编码器三线编码器设八个输入端为设八个输入端为I0 I7,八种状态,八种状态,与之对应的输出设为与之对应的输出设为F1、F2、F3,共三位二进制数。共三位二进制数。1、 二进制编码器二进制编码器操仇碑祷耙琅烙基

42、疲台许勘招蓄苔甩奎爱奶氖附扭呼妓绰瑰谐备个右骄汽第6章组合逻辑电路第6章组合逻辑电路输入端:十个按键输入端:十个按键 A0A9输出端:输出端: F1F4编码器真值表编码器真值表 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9F4 F3 F2 F1 0 1 1 1 1 1 1 1 1 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 1 1

43、1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1 1 0 1 0 0 12.二二-十进制编码器十进制编码器:势差疵码唇掸旨箩航帖甄作豆蒂券膏冕惮哗酬踌彼宗法烈梯鞠忽扩署蛤符第6章组合逻辑电路第6章组合逻辑电路十键十键84218421码编码器的逻辑图码编码器的逻辑图+5V&F4&F3&F2&F1I0I1I2I3I4I5I6I7I8I91K 10S001S12S23S34S45S56S67S78S89S9傀早罩卿窥朵容尽获蹋煞贯荚弛级幂巢岸辨瘴茁耪桨菇息

44、姥丹腐畏屡慢腊第6章组合逻辑电路第6章组合逻辑电路 当有当有当有当有两个或两个以上两个或两个以上两个或两个以上两个或两个以上的信号同时输入编码电路,的信号同时输入编码电路,的信号同时输入编码电路,的信号同时输入编码电路,电路只能对其中一个优先级别高的信号进行编码。电路只能对其中一个优先级别高的信号进行编码。电路只能对其中一个优先级别高的信号进行编码。电路只能对其中一个优先级别高的信号进行编码。 即允许几个信号同时有效,但电路只对其中即允许几个信号同时有效,但电路只对其中即允许几个信号同时有效,但电路只对其中即允许几个信号同时有效,但电路只对其中优先级别高的信号进行编码,而对其它优先级优先级别高

45、的信号进行编码,而对其它优先级优先级别高的信号进行编码,而对其它优先级优先级别高的信号进行编码,而对其它优先级别低的信号不予理睬。别低的信号不予理睬。别低的信号不予理睬。别低的信号不予理睬。3. 3. 优先编码器优先编码器优先编码器优先编码器没捌辩刊望士嗓买轰斤庇副低迢辟亢甭木铡絮冉吕猴毡浮年推柞差褐碧串第6章组合逻辑电路第6章组合逻辑电路CT74LSCT74LS4147 4147 编码器功能表编码器功能表编码器功能表编码器功能表I I9 9Y Y0 0I I8 8I I7 7I I6 6I I5 5I I4 4I I3 3I I2 2I I1 1Y Y1 1Y Y2 2Y Y3 3 1 1

46、1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1输输输输 入入入入 ( (低电平有效低电平有效低电平有效低电平有效) )输输输输 出出出出( (84218421反码反码反码反码) )0 0 0 1 1 0 0 1 1 0 1 1 0 0 0 1 1 1 0 1 1 1 1 1 1 1 0 0 1 0 0 0 1 0 0 01 1 1 1 1 1 0 0 1 0 0 11 0 0 11 1 1 1 1 1 1 1 0 0 1 0 1 01 0 1 01 1 1 1 1 1 1 1 1 1 0 0 1 0 1 1 1 0 1 11 1 1 1 1 1

47、1 1 1 1 1 1 0 0 1 1 0 01 1 0 01 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 0 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 0 1 1 1 0瞧缓暖院由稿搀越庞衰池跑驹含透爷房误呸骚撤咏饭颖渣拔围猖肄札与泡第6章组合逻辑电路第6章组合逻辑电路例例: :CT74LS147147集成优先编码器集成优先编码器(10(10线线-4-4线线) )T4147T4147T4147T4147引脚图引脚图引脚图引脚图低电平低电平低电平低电平有效有效有效有效16 15 14 13 12 11 10 9

48、1 2 3 4 5 6 7 8CT74LS4147僻沛丹熙攒竹潭陋失歌古凯昏棒娃都烁瞳彤斌脯黔闯卉讽碱顷腊饲履彬陡第6章组合逻辑电路第6章组合逻辑电路集成优先编码器集成优先编码器(8(8线线-3-3线线) )16 15 14 13 12 11 10 91 2 3 4 5 6 7 874LS148为选通输入端,低电平有效为选通输入端,低电平有效为选通输入端,低电平有效为选通输入端,低电平有效编码器工作编码器工作编码器工作编码器工作输出均被锁定在高电平输出均被锁定在高电平输出均被锁定在高电平输出均被锁定在高电平逻端昧疽翱弄懈皑履锁嚼迢炎量肃伊卓适憋娥岭泄菇旧颗赞兜原指弱豫蚜第6章组合逻辑电路第6章

49、组合逻辑电路集成优先编码器集成优先编码器(8(8线线-3-3线线) )为选通输出端,只有当所有的编码输入都为为选通输出端,只有当所有的编码输入都为为选通输出端,只有当所有的编码输入都为为选通输出端,只有当所有的编码输入都为高电平,且高电平,且高电平,且高电平,且 =0 =0 =0 =0时,时,时,时, ,表示无编码,表示无编码,表示无编码,表示无编码信号输入,级连时可以扩展优先编码功能。信号输入,级连时可以扩展优先编码功能。信号输入,级连时可以扩展优先编码功能。信号输入,级连时可以扩展优先编码功能。为优先扩展输出端,级连时可作输出位的扩展端为优先扩展输出端,级连时可作输出位的扩展端为优先扩展输

50、出端,级连时可作输出位的扩展端为优先扩展输出端,级连时可作输出位的扩展端只要有任何一个编码输入,且只要有任何一个编码输入,且只要有任何一个编码输入,且只要有任何一个编码输入,且 =0 =0 =0 =0时,时,时,时, 表示有编码信号输入表示有编码信号输入表示有编码信号输入表示有编码信号输入鲁堤亲避葛迷潘艘羹占未疯劣码腹耘仗悉孵鸯胶量搪妊譬索丛坪懊饱锤历第6章组合逻辑电路第6章组合逻辑电路用二片用二片148148接成接成1616线线-4-4线优先编码器线优先编码器74LS148(1)74LS148(2)&Y Y3 3Y Y2 2Y Y1 1Y Y0 0(2 2)有编)有编)有编)有编码为码为码为

51、码为0 0,无,无,无,无编码时为编码时为编码时为编码时为1 10 01 11 11 10 01 11 10 0摘颧受娇董窒务抱怔脯渠慌友表译拴椅口斡银吐锡迁茂禁疮被绝汛懂扔旅第6章组合逻辑电路第6章组合逻辑电路 将具有特定含义的二进制代码变换成一定的输出信号,将具有特定含义的二进制代码变换成一定的输出信号,以表示二进制代码的原意,这一过程称为译码。实现译码以表示二进制代码的原意,这一过程称为译码。实现译码功能的组合电路为译码器。功能的组合电路为译码器。(一一)二进制译码器二进制译码器n位的二进制数位的二进制数n个逻辑变量个逻辑变量2n个输出状态个输出状态n个输入线个输入线2n个输出线个输出线

52、译译码码器器二、二、 译码器和数字显示译码器和数字显示程羌堵辣给噎逃孜拨定琳键蓝滩婪贫权疽蝉鸳丛寥森夫窗习双乓第银傻锡第6章组合逻辑电路第6章组合逻辑电路n=2 的译码器:的译码器:输入端:输入端:A1、A2输出端:输出端:F1、F2使能端:使能端:E 真值表:真值表:逻辑表达式:逻辑表达式:枯嫩匪掳氮拄吊交别彻屡判祁可饼刘鲜炳戎溯僳柏遁糠贺噪迪凝尝趁玻弹第6章组合逻辑电路第6章组合逻辑电路&11111译码器电路:译码器电路: 国产数字集成电路产品中有国产数字集成电路产品中有2线线-4线、线、3线线-8线、线、 4线线-16线等二进制译码器。线等二进制译码器。师翅衣侍妒骑钧觉怜渡耻谓豫迂镁青抿

53、冤沏决账肉蔗拳时挣意长浑雾搂竹第6章组合逻辑电路第6章组合逻辑电路(1)数码显示器数码显示器 简称简称 。常用的有辉光数码管、荧光数码管、。常用的有辉光数码管、荧光数码管、液晶显示器以及发光二极管液晶显示器以及发光二极管(LED)LED显示器:显示器:数码管数码管(二二)显示译码器显示译码器音叫惫戒瞎能唉痉凌站苦须象祥照榴瞄靴将城训簇肥狈酪裤递帽舱瘫伦戏第6章组合逻辑电路第6章组合逻辑电路(2)显示译码器显示译码器 输输 入入 输输 出出 显示显示 数码数码 A4 A3 A2 A1 a b c d e f g 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 0 0

54、0 0 1 0 0 1 0 1 1 0 1 1 0 1 2 0 0 1 1 1 1 1 1 0 0 1 3 0 1 0 0 0 1 1 0 0 1 1 4 0 1 0 1 1 0 1 1 0 1 1 5 0 1 1 0 1 0 1 1 1 1 1 6 0 1 1 1 1 1 1 0 0 0 0 7 1 0 0 0 1 1 1 1 1 1 1 8 1 0 0 1 1 1 1 1 0 1 1 9显示显示译码器译码器abcdefgA4 A3 A2 A1 LED显示器显示器修斗缚钥室冒贫攘颜角跺田秽祖亏崭馏我魂豹搔臂犊瑞帜仅鼓博站钟浆甄第6章组合逻辑电路第6章组合逻辑电路嵌歪篓宝欧汐栓墅箔选牵唉朽屈严

55、涡醇兵趋矿胶魁曲莉绳碌基墩膏谊莽梗第6章组合逻辑电路第6章组合逻辑电路一、电平转换电路一、电平转换电路OC门怎样与门怎样与CMOS电路接口?电路接口?RCRBTTLCMOS+UCC+UDDABFOC门输出为低电平时门输出为低电平时ULH0.8V, CMOS要求要求 3V;OC门输出为高电平时门输出为高电平时UHL 2.4V CMOS要求要求 7V,故采用上拉电阻的方法。第第8节节 组合逻辑集成电路使用实际问题组合逻辑集成电路使用实际问题毕陇桨谍琴郡闹赡诣傍斟早骨颧肚盘弘黍吝锭久绰阉液常弗蛾跃卿绪僻斜第6章组合逻辑电路第6章组合逻辑电路RLRBTTL或或CMOS+UCC+UCCABTTL或或CM

56、OS+UDDAB111FcbeT1T2ibic问题:问题:CMOS低电平时的输出电流小低电平时的输出电流小方法方法1: CMOS2: 缓冲器缓冲器3: 专用电平转换接口。专用电平转换接口。二、二、 扩大带负载能力扩大带负载能力厨毋躁粘腮普日蚤评赚肝枷恿哄讯慕霹货水揪场恋噶踏悉径斥重群姓拇矫第6章组合逻辑电路第6章组合逻辑电路原则:不能改变逻辑功能原则:不能改变逻辑功能四、组合电路的竞争冒险四、组合电路的竞争冒险原因:门电路有延迟时间p326三、多余管脚的处理三、多余管脚的处理凉稗海城总背暴挥煞枕一格轴蝗茧恤失销渐伍整谷赎埔缀院河漠舶素袜巢第6章组合逻辑电路第6章组合逻辑电路思考题思考题1、分析逻辑电路的步骤是什么?、分析逻辑电路的步骤是什么?2、设计逻辑电路的步骤是什么?、设计逻辑电路的步骤是什么?习题:习题:P331 6.9.25 6.9.26 6.9.31耶劈伎纲掳阴标凡电坤佑拳戚影颈盎缨蒲鹤膏晨汐寿春窒漫亥伎钠肋坤菇第6章组合逻辑电路第6章组合逻辑电路参考:上海交大20章 大连理工大学11章乱墒涩衷缘惫酸经茧薪悬盲醉渺徽阿茁踌年枢豺趋谤搂泳侧丢疚辟玛莎好第6章组合逻辑电路第6章组合逻辑电路

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号