数字电子技术基础第3章数字电子技术基础课件

上传人:ni****g 文档编号:568521264 上传时间:2024-07-25 格式:PPT 页数:89 大小:4.38MB
返回 下载 相关 举报
数字电子技术基础第3章数字电子技术基础课件_第1页
第1页 / 共89页
数字电子技术基础第3章数字电子技术基础课件_第2页
第2页 / 共89页
数字电子技术基础第3章数字电子技术基础课件_第3页
第3页 / 共89页
数字电子技术基础第3章数字电子技术基础课件_第4页
第4页 / 共89页
数字电子技术基础第3章数字电子技术基础课件_第5页
第5页 / 共89页
点击查看更多>>
资源描述

《数字电子技术基础第3章数字电子技术基础课件》由会员分享,可在线阅读,更多相关《数字电子技术基础第3章数字电子技术基础课件(89页珍藏版)》请在金锄头文库上搜索。

1、数字电子技术基础数字电子技术基础 1第第3 3章章 组合逻辑电路组合逻辑电路 2第第3章章 组合逻辑电路组合逻辑电路 概述概述3.1 3.1 组合电路的基本分析方法和设计方法组合电路的基本分析方法和设计方法3.2 3.2 加法器和数值比较器加法器和数值比较器3.3 3.3 编码器和译码器编码器和译码器3.4 3.4 数据选择器和分配器数据选择器和分配器3.5 3.5 用中规模集成电路实现组合逻辑函数用中规模集成电路实现组合逻辑函数3.6 3.6 只读存储器只读存储器3.7 3.7 组合电路中的竞争冒险组合电路中的竞争冒险3一、组合逻辑电路的特点一、组合逻辑电路的特点逻辑功能的特点逻辑功能的特点

2、:任意时刻的稳定输出仅仅取决于任意时刻的稳定输出仅仅取决于当时的输入信号,而与电路原来的状态无关。当时的输入信号,而与电路原来的状态无关。 组合逻辑电路的一般结构如图所示。组合逻辑电路的一般结构如图所示。组合逻辑电路的概述组合逻辑电路的概述 电路结构的特点:电路结构的特点: 1、由门电路组合、由门电路组合而成,不包含任何而成,不包含任何记忆元件;记忆元件; 2、信号是单向传、信号是单向传输的,不存在输出输的,不存在输出到输入的反馈回路。到输入的反馈回路。组合逻辑电路I输输入入I0In-1I1Y0Ym-1Y1Y输输出出Y0=F0(I0,I1,In-1)Y1=F1(I0,I1,In-1) Ym-1

3、=Fm-1(I0,I1,In-1) 数字逻辑电路分为组合逻辑电路和时序逻辑电路数字逻辑电路分为组合逻辑电路和时序逻辑电路4二、组合电路逻辑功能的表示方法二、组合电路逻辑功能的表示方法 用来表示逻辑函数的几种方法用来表示逻辑函数的几种方法逻辑图、真值表、逻辑图、真值表、卡诺图、逻辑表达式及时间图等,都可以用来表示组合卡诺图、逻辑表达式及时间图等,都可以用来表示组合电路的逻辑功能。电路的逻辑功能。 三、组合逻辑电路的分类三、组合逻辑电路的分类 1、按照逻辑功能特点不同划分:加法器、比较器、编、按照逻辑功能特点不同划分:加法器、比较器、编码器、译码器、数据选择器和分配器、只读存储器等。码器、译码器、

4、数据选择器和分配器、只读存储器等。 2、按照使用基本开关元件不同划分:、按照使用基本开关元件不同划分:CMOS、TTL等。等。 3、按照集成度不同划分:、按照集成度不同划分:SSI(Small Scale IC,小规小规模集成电路模集成电路 )、)、MSI (Medium Scale IC,中规模集成电中规模集成电路路 ) 、LSI (Large Scale IC,大规模集成电路大规模集成电路 ) 、VLSI (Very Large Scale IC,超大规模集成电路超大规模集成电路 )等。)等。5 3.1 3.1 组合电路的基本分析方法和设计方法组合电路的基本分析方法和设计方法 一、分析方法

5、一、分析方法 根据给定的逻辑图写根据给定的逻辑图写出输出函数的逻辑表达式。出输出函数的逻辑表达式。 化简逻辑表达式,求化简逻辑表达式,求出输出函数的最简出输出函数的最简与或与或表表达式。达式。 列出输出函数的真值列出输出函数的真值表。表。 描述电路的逻辑功能。描述电路的逻辑功能。 所谓组合逻辑电路的分析,就是根据给定的逻辑电路所谓组合逻辑电路的分析,就是根据给定的逻辑电路图,求出电路的逻辑功能。图,求出电路的逻辑功能。 3.1.1 3.1.1 组合电路的基本分析方法组合电路的基本分析方法 给定组合逻辑电路给定组合逻辑电路写输出逻辑表达式写输出逻辑表达式化简化简分析其功能分析其功能列出真值表列出

6、真值表分析其功能分析其功能6二、分析举例:二、分析举例:解解 : 、根据逻辑图写输出逻辑表达式并化简、根据逻辑图写输出逻辑表达式并化简1:组合逻辑电路如图,组合逻辑电路如图,试分析其逻辑功能。试分析其逻辑功能。、根据逻辑表达式列真值表、根据逻辑表达式列真值表、由真值表分析逻辑功能、由真值表分析逻辑功能当当AB相同时,输出为相同时,输出为0 0当当AB相异时,输出为相异时,输出为1 1异或功能。异或功能。&YAB01100 00 11 01 1YA B7分析举例分析举例2:分析图中所示电路的逻辑功能,输入信号:分析图中所示电路的逻辑功能,输入信号A、B、C、D是一组二进制代码。是一组二进制代码。

7、&ABCDY 解解 1. 逐级写输出函数的逻辑表达式逐级写输出函数的逻辑表达式WX2. 化简化简3. 列真值表列真值表A B C DA B C DYY0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 111111111000000004. 功能说明:功能说明:当输入四位代码中当输入四位代码中 1 的个数为的个数为奇数奇数时输时输出为出为 1,为,为偶数偶数时输出为时输出为 0 检奇电路检奇电路。8解解:、根据逻辑图写输出逻辑

8、表达式、根据逻辑图写输出逻辑表达式练习:练习:1、组合逻辑电路组合逻辑电路如图,试分析其逻辑功能。如图,试分析其逻辑功能。Y31111ABCYY1Y21、化简逻辑表达式、化简逻辑表达式电路的输出电路的输出Y只与输入只与输入A、B有有关,而与输入关,而与输入C无关。无关。Y和和A、B的逻辑关系为与非运算的关系。的逻辑关系为与非运算的关系。、电路的逻辑功能、电路的逻辑功能92 2:试分析图示电路的逻辑功能。试分析图示电路的逻辑功能。解:解:第一步:由逻辑图第一步:由逻辑图可以写输出可以写输出F的逻的逻辑表达式为:辑表达式为: 10第二步:原式可变换为第二步:原式可变换为 第四步:确定电路的逻辑第四

9、步:确定电路的逻辑功能。功能。 由真值表可知,三个变量由真值表可知,三个变量输入输入,只有两个只有两个及两个以上变量取值为及两个以上变量取值为1 1时,时,输出才为输出才为1 1。可见电路可实现。可见电路可实现多数表决多数表决逻辑功能。逻辑功能。第三步:列出真值表如表所示。第三步:列出真值表如表所示。ABCF0000010000100111100010111101111111 3.1.2 3.1.2 组合电路的基本设计方法组合电路的基本设计方法 一、设计方法一、设计方法 根据要求,设计出适合需要的组合逻辑电路应该遵循根据要求,设计出适合需要的组合逻辑电路应该遵循的基本步骤,可以大致归纳如下:的

10、基本步骤,可以大致归纳如下: 1、进行逻辑抽象进行逻辑抽象 分析设计要求,确定输入、输出信号及它们之间的分析设计要求,确定输入、输出信号及它们之间的因果关系。因果关系。 设定变量,即用英文字母表示有关输入、输出信号,设定变量,即用英文字母表示有关输入、输出信号,表示输入信号者称为输入变量,有时也简称为变量,表表示输入信号者称为输入变量,有时也简称为变量,表示输出信号者称为输出变量,有时也称为输出函数或简示输出信号者称为输出变量,有时也称为输出函数或简称函数。称函数。 组合逻辑功辑电路的设计是根据给定的实际逻辑问题,组合逻辑功辑电路的设计是根据给定的实际逻辑问题,求出实现其逻辑功能的逻辑电路。求

11、出实现其逻辑功能的逻辑电路。12 状态赋值,即用状态赋值,即用0 0和和1 1表示信号的有关状态。表示信号的有关状态。 列真值表。根据因果关系,把变量的各种取值和相列真值表。根据因果关系,把变量的各种取值和相应的函数值,以表格形式一一列出,而变量取值顺序则应的函数值,以表格形式一一列出,而变量取值顺序则常按二进制数递增排列,也可按循环码排列。常按二进制数递增排列,也可按循环码排列。 2 2、进行化简、进行化简 输入变量比较少时,可以用卡诺图化简。输入变量比较少时,可以用卡诺图化简。 输入变量比较多用卡诺图化简不方便时,可以用公输入变量比较多用卡诺图化简不方便时,可以用公式法化简。式法化简。 3

12、 3、画逻辑图画逻辑图 变换最简与或表达式变换最简与或表达式,根据所用元器件根据所用元器件(分立元件分立元件 或或 集成芯片集成芯片)的情况将函数式进行化简。的情况将函数式进行化简。求出所需要的求出所需要的最简式。最简式。 根据最简式画出逻辑图根据最简式画出逻辑图。13 二、设计举例二、设计举例 例例1 1:试设计一个三人多数表决电路,要求提案通过试设计一个三人多数表决电路,要求提案通过时输出为时输出为1 1,否则为,否则为0 0。 解:解:分析:分析:“多数表决电路多数表决电路”是按照少数服从多数的是按照少数服从多数的原则对某项决议进行表决,确定是否通过。原则对某项决议进行表决,确定是否通过

13、。 令令 逻辑变量逻辑变量A、B、C 分别代表参加表决的分别代表参加表决的3 3个个成员,并约定逻辑变量取值为成员,并约定逻辑变量取值为0 0表示反对表示反对,取值为,取值为1 1表示表示赞成;赞成; 逻辑函数逻辑函数Y表示表决结果。表示表决结果。Y取值为取值为0 0表示决议被表示决议被否定,否定,Y取值为取值为1 1表示决议通过。表示决议通过。 按照少数服从多数的原则可知,函数和变量的关系是:按照少数服从多数的原则可知,函数和变量的关系是:当当3 3个变量个变量A、B、C中有中有2 2个或个或2 2个以上取值为个以上取值为1 1时,函数时,函数Y的值为的值为1 1,其他情况下函数,其他情况下

14、函数Y的值为的值为0 0。141 1、列真值表、列真值表2 2、由真值表可写出:、由真值表可写出:Y(A,B,C)=m(3,5,6,7)11100001BC00 01 11 10 01AY3 3、填卡诺图化简逻辑函数、填卡诺图化简逻辑函数000101110 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1YA B C 4 4、 输出函数式输出函数式Y=AB+BC+AC5 5、用与门、或门设计、用与门、或门设计电路电路6 6、用与非门设计电路、用与非门设计电路 思考:若思考:若只只用二输入与非门设用二输入与非门设计电路,如何画逻辑图?计电路,如何画逻辑图?提示:提示:

15、的形式画逻辑图的形式画逻辑图。将函数式化为将函数式化为&1 1ABCY&ABCY&15首先确定输入变量首先确定输入变量: 设:设:A,B,C为输入变量分别代表参加表决的逻辑变量,为输入变量分别代表参加表决的逻辑变量,Y为输出为输出变量,表示输出结果。变量,表示输出结果。 规定:规定:A,B,C为为1 1表示赞成,为表示赞成,为0 0表示反对。表示反对。Y=1=1表示通过,表示通过,Y=0 =0 表示反对。表示反对。ABAC第二步:函数化简第二步:函数化简第三步:画逻辑电路图第三步:画逻辑电路图解:解:第一步:列真值表第一步:列真值表真值表真值表ABCY000000100100011010001

16、01111011111ABCY& 例例2 2:设计一个三变量表决器,其中设计一个三变量表决器,其中A A具有否决权。具有否决权。BCA000111100111116 例例3 3:设计一个楼上、楼下开关的控制逻辑电路来控设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关关灭电灯。用楼上开关打开电灯,下楼后,用楼下开关关灭电灯。 解:解:设定变量和状态赋值:设定变量和状态赋值:设楼上开关为

17、设楼上开关为A,楼下开,楼下开关为关为B,灯泡为,灯泡为Y。并设。并设A、B闭合时为闭合时为1 1,断开时为,断开时为0 0;灯亮时灯亮时Y为为1 1,灯灭时,灯灭时Y为为0 0。 列真值表:列真值表:根据逻辑要求列出真值表如下。根据逻辑要求列出真值表如下。 逻辑表达式:逻辑表达式:由真值表由真值表得逻辑逻辑表达式得逻辑逻辑表达式已为最简与或表达式A BY0 000 111 011 1017画逻辑电路图:画逻辑电路图:用与非门实现用异或门实现18 例例4 4:设计一个路灯控制电路,要求实现的功能是:设计一个路灯控制电路,要求实现的功能是:当总电源开关闭合时,安装在三个不同地方的三个开当总电源开

18、关闭合时,安装在三个不同地方的三个开关都能独立地将灯打开或熄灭;当总电源开关断开时,关都能独立地将灯打开或熄灭;当总电源开关断开时,路灯不亮。路灯不亮。 解:解: 逻辑抽象逻辑抽象 输入、输出信号:输入信号是四个开关的状态,输输入、输出信号:输入信号是四个开关的状态,输出信号是路灯的亮、灭。出信号是路灯的亮、灭。 设定变量用设定变量用S表示总电源开关,用表示总电源开关,用A、B、C表示安表示安装在三个不同地方的分开关,用装在三个不同地方的分开关,用Y表示路灯。表示路灯。 状态赋值:用状态赋值:用0表示开关断开和灯灭,用表示开关断开和灯灭,用1表示开关表示开关闭合和灯亮。闭合和灯亮。19 列真值

19、表:由题意不难理解,一般列真值表:由题意不难理解,一般地说,四个开关是不会在同一时刻动作地说,四个开关是不会在同一时刻动作的,反映在真值表中任何时刻都只会有的,反映在真值表中任何时刻都只会有一个变量改变取值,因此按循环码排列一个变量改变取值,因此按循环码排列变量变量S、A、B、C的取值较好,如右表的取值较好,如右表所示。所示。00000000101010100 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 0YS A B C

20、 进行化简进行化简 由下图所示由下图所示Y的卡诺图可得的卡诺图可得101001010000000 0BC10110001SA00 0111 1020 画逻辑图画逻辑图 用异或门和与门实现。用异或门和与门实现。 变换表达式变换表达式 逻辑图:如下图所示。逻辑图:如下图所示。11&ABCSY21练习:练习: 设计一个监视交通信号灯工作状态的逻辑电路。设计一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿灯只有一个亮,否则视为故正常情况下,红、黄、绿灯只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。障状态,发出报警信号,提醒有关人员修理。 解解 1. 逻辑抽象逻辑抽象输入变量

21、:输入变量:1 - 亮亮0 - 灭灭输出变量:输出变量:R(红红)Y(黄黄)G(绿绿)Z(有无故障有无故障)1 - 有有0 - 无无列真值表列真值表R Y GZ0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1100101112. 卡诺图化简卡诺图化简RYG0100 01 11 101111122练习:练习:设计一个监视交通信号灯工作状态的逻辑电路。设计一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿只有一个亮,否则视为故障正常情况下,红、黄、绿只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。状态,发出报警信号,提醒有关人员修理。 解解

22、3. 画逻辑图画逻辑图&1&111RGYZ23作业题作业题P225 题题3.1(a) P226 题题3.5243.2 加法器和数值比较器加法器和数值比较器3.2.1 加法器加法器一、半加器和全加器一、半加器和全加器1. 半加器半加器(Half Adder)两个两个 1 位二进制数相加,不考虑来自低位的进位。位二进制数相加,不考虑来自低位的进位。0 00 11 01 10 01 01 00 1真真值值表表函数式函数式Ai+Bi = Si (和和) Ci (进位进位)25逻逻辑辑图图曾曾用用符符号号国国标标符符号号半加器半加器(Half Adder)Si&AiBi=1CiCOSiAiBiCiHAS

23、iAiBiCi函函数数式式262. 全加器全加器(Full Adder)两个两个 1 位二进制数相加,考虑来自低位的进位。位二进制数相加,考虑来自低位的进位。 Ai + Bi + Ci -1 ( 来自低位进位来自低位进位 ) = Si ( 和和 ) Ci ( 向高位进位向高位进位 )1 0 1 1 - A 1 1 1 0- B+-来自来自低位进位低位进位100101111真真值值表表标准标准与或式与或式Ai Bi Ci-10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1SiCiAi Bi Ci-1SiCi0 01 01 00 11 00 10 11 1- S高位

24、进位高位进位27卡诺图卡诺图全加器全加器(Full Adder)AiBiCi-10100 01 11 101111SiAiBiCi-10100 01 11 101111Ci圈圈 “ 0 ”最简与或式最简与或式圈圈 “ 1 ”28逻辑图逻辑图(a) 用用与门与门、或门或门和和非门非门实现实现曾用符号曾用符号国标符号国标符号COCISiAiBiCi-1CiFASiAiBiCi-1Ci&1111AiSiCiBiCi-1129(b) 用用与或非门与或非门和和非门非门实现实现&1&1111CiSiAiBiCi-1303. 集成全加器集成全加器TTL:74LS183CMOS:C661双全加器双全加器74L

25、S18374LS183VCC 2Ai2Bi 2Ci-1 2Ci 2Si VCC 2A 2B 2CIn 2COn+1 2F1A1B 1CIn1FGND1Ai1Bi1Ci-11Si地地1Ci1 2 3 4 5 6 714 13 12 11 10 9 8C661C661VDD 2Ai2Bi 2Ci-1 1Ci 1Si 2Si 1Ci-1 2Ci 1Ai1Bi VSS 31二、加法器二、加法器(Adder)实现多位二进制实现多位二进制数相加的电路数相加的电路1. 四位串行进位加法器四位串行进位加法器特点:特点:电路简单,连接方便电路简单,连接方便速度低速度低 = 4 tpdtpd 1位全加器的平均位全

26、加器的平均 传输延迟时间传输延迟时间C0S0B0A0C0-1CO CIC1S1B1A1CO CIC2S2B2A2CO CIC3S3B3A3CO CI322. 超前进位加法器超前进位加法器 作加法运算时,各位数的进位信号由输入二进制作加法运算时,各位数的进位信号由输入二进制数直接产生。数直接产生。特点特点优点:速度快优点:速度快缺点:电路比较复杂缺点:电路比较复杂33逻辑结构示意图逻辑结构示意图超前进位电路超前进位电路 S3 S2 S1 S0C3A3B3A2B2A1B1A0B0C0-1CICICICI343. 2. 2 数值比较器数值比较器(Digital Comparator)一、一、1 位数

27、值比较器位数值比较器0 00 11 01 10 1 00 0 11 0 00 1 0真真值值表表函数式函数式逻辑图逻辑图 用用与非门与非门和和非门非门实现实现Ai Bi Li Gi MiLi( A B )Gi( A = B )Mi( A BL = 1A = BM = 1A 100= 100= 100=100=010 001= 001= 001=001B = B3B2B1B0LGM4 4位数值比较器位数值比较器A3 B3 A2 B2 A1 B1 A0 B036G = (A3 B3)(A2 B2) (A1 B1)(A0 B0)&1&1&1&1&1&1&1 1&1&1&1 1 MLGA2A1B3A3

28、B2B1B01 A04 位数值比较器位数值比较器M = A3B3+ (A3 B3) A2B2 + (A3 B3)(A2 B2) A1 B1+ (A3 B3)(A2 B2)(A1 B1) A0B01 位数值比较器位数值比较器AiMiBiAi BiAiBiLiGiAiBi&1&1&L = M G =M+G37扩展:扩展:级级联联输输入入 集成数值比较器集成数值比较器 74LS85 (TTL) 两片两片 4 位位数值比较器数值比较器74LS85 AB74LS85 ABVCC A3 B2 A2 A1 B1 A0 B0B3 AB FAB FA=B FAB地地1 2 3 4 5 6 7 816 15 14

29、 13 12 11 10 97485 74LS85比较输出比较输出1 8 位位数值比较器数值比较器低位比较结果低位比较结果高位比较结果高位比较结果 FAB FAB B7 A7 B6 A6 B5 A5 B4 A4 B3 A3 B2 A2 B1 A1 B0 A0 38比比 较较 输输 入入级级 联联 输输 入入输输 出出A3B3A2B2A1B1A0B0AB FA B 001= 001= 001= 001=001001=010010=100100 100= 100 4 位集成数值比较器的真值表位集成数值比较器的真值表级联输入:级联输入:供扩展使用,一般接低位芯片的比较输出,即供扩展使用,一般接低位芯

30、片的比较输出,即 接低位芯片的接低位芯片的 FA B 。= B 只是为了电路对称,不起判断作用只是为了电路对称,不起判断作用B7 A7 B6 A6 B5 A5 B4 A4 FAB CC14585 ABB3 A3 B2 A2 B1 A1 B0 A0 FAB CC14585 AB 集成数值比较器集成数值比较器 CC15485(CMOS)扩展:扩展: 两片两片4 位位 8 位位VDDA3 B3 FAB FABA BA=BA1VSS1 2 3 4 5 6 7 816 15 14 13 12 11 10 9CC14585 C6631低位比较结果低位比较结果高位比较结果高位比较结果1403. 3 编码器和

31、译码器编码器和译码器3. 3. 1 编码器编码器(Encoder)编码:编码:用文字、符号或者数字表示特定对象的过程用文字、符号或者数字表示特定对象的过程(用二进制代码表示不同事物)(用二进制代码表示不同事物)二进制编码器二进制编码器二二十进制编码器十进制编码器分类:分类:普通编码器普通编码器优先编码器优先编码器2nn104或或Y1I1编编 码码 器器Y2YmI2In代代码码输输出出信信息息输输入入编编 码码 器器 框框 图图41一、二进制编码器一、二进制编码器用用 n 位二进制代码对位二进制代码对 N = 2n 个信号进行编码的电路个信号进行编码的电路1. 3 位二进制编码器位二进制编码器(

32、8 线线- 3 线线)编码表编码表函函数数式式Y2 = I4 + I5 + I6 + I7Y1 = I2 + I3+ I6 + I7Y0 = I1 + I3+ I5 + I7输输入入输输出出 I0 I7 是一组互相排斥的输入变是一组互相排斥的输入变量,任何时刻只能有一个端输入有效量,任何时刻只能有一个端输入有效信号。信号。输输 入入输输 出出0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1Y2 Y1 Y0I0I1I2I3I4I5I6I73 位位二二进进制制编编码码器器I0I1I6I7Y2Y1Y0I2I4I5I342函数式函数式逻辑图逻辑图 用用或门或门实现实现

33、用用与非门与非门实现实现Y0 Y1 Y2111I7 I6 I5 I4 I3I2 I1I0 &Y0 Y1 Y243优先编码:优先编码:允许几个信号同时输入,但只对优先级别最高允许几个信号同时输入,但只对优先级别最高的进行编码。的进行编码。优先顺序:优先顺序:I7 I0编码表编码表输输 入入输输 出出 I7 I6 I5 I4 I3 I2 I1 I0 Y2 Y1 Y0 1 1 1 1 0 1 1 1 0 0 0 1 1 0 1 0 0 0 1 1 0 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 1 0 0

34、 0函数式函数式Y2 = I7 + I6 + I5 + I42. 3 位二进制优先编码器位二进制优先编码器Y1 = I7+I6+I5I4I3+ I5I4I2Y0 = I7 + I6I5 + I6I4I3 + I6I4I2I144输入输入输出输出为原为原变量变量逻逻辑辑图图输入输入输出输出为反为反变量变量Y2Y1Y0111&111111111111111I7I6I5I4I3I2I1I045用用 4 位二进制代码对位二进制代码对 0 9 十个信号进行编码的电路十个信号进行编码的电路1. 8421 BCD 编码器编码器2. 8421 BCD 优先编码器优先编码器3. 集成集成 10线线 -4线线优先

35、编码器优先编码器(74147 74LS147)三、几种常用编码三、几种常用编码1. 二二-十进制编码十进制编码8421 码码 余余 3 码码 2421 码码5211 码码 余余 3 循环码循环码 右移循环码右移循环码循环码(反射码或格雷码)循环码(反射码或格雷码)ISO码码ANSCII(ASCII)码)码二、二二、二- -十进制编码器十进制编码器2. 其它其它二二-十十进进制制编编码码器器I0I2I4I6I8I1I3I5I7I9Y0Y1Y2Y3463.3.2 译码器译码器(Decoder)编码的逆过程,将二进制代码翻译为原来的含义编码的逆过程,将二进制代码翻译为原来的含义一、二进制译码器一、二

36、进制译码器(Binary Decoder) 输入输入 n 位二位二进制代码进制代码如:如: 2 线线 4 线译码器线译码器 3 线线 8 线译码器线译码器4 线线 16 线译码器线译码器A0Y0A1An-1Y1Ym-1二进制二进制译码器译码器输出输出 m 个个信号信号 m = 2n471. 三位二进制译码器三位二进制译码器( 3 线线 8 线线)真值表真值表函数式函数式A0Y0A1A2Y1Y73 位位二进制二进制译码器译码器0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1

37、0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1483 线线 - 8 线译码器逻辑图线译码器逻辑图000 输出低电平有效输出低电平有效工作原理:工作原理:11111101&Y7&Y6&Y5&Y4&Y3&Y2&Y1&Y0A2A2A1A1A0A0111111A2A1A000111110111010101111110111110111110011111011101111111101101101111111101111111492. 集成集成 3 线线 8 线译码器线译码器 - 74LS

38、138引脚排列图引脚排列图功能示意图功能示意图输入选通控制端输入选通控制端芯片芯片禁止禁止工作工作芯片芯片正常正常工作工作VCC 地地1324567816 15 14 13 12 11 10974LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y7 74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 503. 二进制译码器的级联二进制译码器的级联 两片两片3 线线 8 线线4 线线-16 线线Y0Y7Y8Y1574LS1

39、38Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA 高位高位Y7 A0 A1 A2 A3 74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA 低位低位Y7 10工作工作禁止禁止有输出有输出无输出无输出 1禁止禁止工作工作无输出无输出有输出有输出0 78 1551三片三片 3 线线- 8 线线5 线线 - 24 线线(1)()(2)()(3)输输 出出工工 禁禁 禁禁禁禁 工工 禁禁禁禁 禁禁 工工0 00 11 01 1禁禁 禁禁 禁禁全为全为 174LS138 (1)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A

40、0 A1 A2 STB STC STA Y0Y7 Y774LS138 (3)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA Y16Y7 Y2374LS138 (2)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA Y8Y7 Y15A0A1A2A3A4152功能特点:功能特点: 输出端提供全部最小项输出端提供全部最小项电路特点:电路特点: 与门与门( (原变量输出原变量输出) )与非门与非门( (反变量输出反变量输出) )4. 二进制译码器的主要特点二进制译码器的主要特点二、二二、二-十进制译码器十进制译码器(Binary-C

41、oded Decimal Decoder)将将 BCD 码翻译成对应的码翻译成对应的十个十个输出信号输出信号集成集成 4 线线 10 线线译码器:译码器: 7442 74LS4253半导体显示半导体显示(LED)液晶显示液晶显示(LCD)共阳极共阳极每字段是一只每字段是一只发光二极管发光二极管三、显示译码器三、显示译码器数码显示器数码显示器aebcfgdabcdefgR+ 5 VYaA3A2A1A0+VCC+VCC显示显示译码器译码器共阳共阳YbYcYdYeYfYg00000000001000100101001111001001000110100010101100111100010010000

42、110100110001001000010000000111100000000000100 低电平低电平驱动驱动Ya54驱动共阳极数码管的电路驱动共阳极数码管的电路Ya圈零:55A3A2A1A0YfYeYdYcYbYaYgYa圈1:Ya=A2A1A0+A3A2A1A0=A2A1A0+A3A2A1A0=A2A1A0A3A2A1A056共阴极共阴极abcdefgR+5 VYaA3A2A1A0+VCC显示显示译码器译码器共阴共阴YbYcYdYeYfYg 高电平高电平驱动驱动0000111111000010010011000011011010011010001010110011110001001111

43、1001011001110110111011111111000011111111111011aebcfgd573. 4 数据选择器和分配器数据选择器和分配器583. 4. 1 数据选择器数据选择器 ( Data Selector )能够从能够从多路多路数据输入中数据输入中选择一路选择一路作为输出的电路作为输出的电路一、一、4 选选 1 数据选择器数据选择器输输入入数数据据输输出出数数据据选择控制信号选择控制信号A0Y4选选1数据选择器数据选择器D0D3D1D2A11. 工作原理工作原理0 0 0 1 1 0 1 1 D0D1D2D3D0 0 0D0D A1 A0 2. 真值表真值表D1 0 1

44、D2 1 0D3 1 1Y D1D2D33. 函数式函数式 59一、一、4 选选 1 数据选择器数据选择器3. 函数式函数式4. 逻辑图逻辑图1&11YA11A0D0D1D2D30 0 0 1 1 0 1 1 = D0= D1= D2= D360 二、集成数据选择器二、集成数据选择器1. 8 选选 1 数据选择器数据选择器74151 74LS151 74251 74LS251管管脚脚排排列列图图功功能能示示意意图图VCC 地地1324567816 15 14 13 12 11 10 974LS151D4 D5 D6 D7 A0 A1 A2 D3 D2 D1 D0 Y Y SMUXD7A2D0A

45、0A1SYY禁止禁止使能使能1 0 0 0 0D0 D0 D1 D1 D2 D2 D3 D3 D4 D4 D5 D5 D6 D6 D7 D7 0 0 10 1 00 1 11 0 01 0 11 1 01 1 11 0 A2 A0 地址端地址端D7 D0 数据输入端数据输入端612. 集成数据选择器的扩展集成数据选择器的扩展两片两片 8 选选 1(74151)16 选选 1数据选择器数据选择器A2 A1 A0 A3 D15 D81Y1S74151 (2)D7A2D0ENA0A1YY2D7 D074151 (1)D7A2D0ENA0A1SYY1低位低位高位高位0 禁止禁止使能使能0 70 D0

46、D7 D0 D7 1 使能使能禁止禁止D8 D15 0 D8 D15 623. 4. 2 数据分配器数据分配器 ( Data Demultiplexer )将将 1 路输入数据,根据地址码的要求送到指定的输出端路输入数据,根据地址码的要求送到指定的输出端一、一、1 路路-4 路数据分配器路数据分配器数据数据输入输入数据输出数据输出选择控制选择控制0 00 11 01 1D 0 0 00 D 0 00 0 D 00 0 0 D&Y0&Y1&Y2&Y31A01A1DDA01 路路-4 路路数据分配器数据分配器Y0Y3Y1Y2A1真真值值表表函函数数式式逻辑图逻辑图D63二、集成数据分配器二、集成数

47、据分配器用用 3 线线-8 线译码器线译码器可实现可实现 1 路路-8 路路数据分配器数据分配器数据输出数据输出 S1 数据输入(数据输入(D)地址码地址码 数据输入数据输入( (任选一路任选一路) )74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 S2 数据输入(数据输入(D)643. 5 用用 MSI 实现组合逻辑函数实现组合逻辑函数3. 5. 1 用数据选择器实现组合逻辑函数用数据选择器实现组合逻辑函数一、基本原理和步骤一、基本原理和步骤1. 原理:

48、原理:选择器输出为标准与或式,含地址变量的选择器输出为标准与或式,含地址变量的全部最小项。例如全部最小项。例如 而任何组合逻辑函数都可以表示成为若干最小项而任何组合逻辑函数都可以表示成为若干最小项之和的形式,故可用数据选择器实现。之和的形式,故可用数据选择器实现。4 选选 18 选选 1652. 步骤步骤(1) 确定数据选择器的规模和型号确定数据选择器的规模和型号(2) 写出函数的写出函数的标准与或式标准与或式和选择器和选择器输出信号表达式输出信号表达式(3) 对照比较确定选择器各个输入变量的表达式对照比较确定选择器各个输入变量的表达式 (4) 画出连线图画出连线图66二、应用举例二、应用举例

49、 例例 1 用数据选择器实现函数用数据选择器实现函数解法解法1: (1) 因为有三个变量,所以用一块有因为有三个变量,所以用一块有三个地址端的数据选择器三个地址端的数据选择器74LS151 (2) F=AB+BC+AC=AB(C+C)+BC(A+A)+AC(B+B)=ABC+ABC+ABC+ABCY=A2A1A0D0+A2A1A0D1+A2A1A0D2+A2A1A0D3 +A2A1A0D4+A2A1A0D5+A2A1A0D6+A2A1A0D7(3) 令A2=A、A1=B、A0=C, 若若D3=D5=D6=D7=1, D0=D1=D2=D4=0则Y =ABC+ABC+ABC+ABC=FY 74L

50、S151D7D6D5D4D3D2D1D0A2A1A0S(4) 连线A B C100Y=F67 例例 1 用数据选择器实现函数用数据选择器实现函数解法解法2: (1) 用一块双用一块双4选选1数据选择器数据选择器74LS153(即(即2 个个4选选1扩展为扩展为3个地址端)个地址端)(2) F=AB+BC+AC =ABC+ABC+ABC+ABCY1/2 74LS153D3D2D1D0A1A0ST1Y1/2 74LS153D7D6D5D4A1A0ST2B C1AY1=A(A1A0D0+A1A0D1+A1A0D2+A1A0D3)Y2=A(A1A0D4+A1A0D5+A1A0D6+A1A0D7 )(3

51、) 若若D0=D1=D2=D4=0、D3=D5=D6=D7=1则则Y1=ABC Y2=ABC+ABC+ABCF=Y1+Y2(4) 连线连线F10168 例例 1 用数据选择器实现函数用数据选择器实现函数解法解法3:(2) 标准与或式标准与或式(1)用用1个个 4 选选 1 数据选择器数据选择器 即即1/2 74LS153数据选择器数据选择器(3) 确定输入变量和地址码的对应关系确定输入变量和地址码的对应关系令令 A1 = A, A0 = B则则 D0 = 0 D1 =D2 = C D3 = 1FA BY1/2 74LS153D3D2D1D0A1A0ST1C(4) 画连线图画连线图1个个 4 选

52、选 1 数据选择器只有数据选择器只有2个地址端,个地址端,另一变量要接在数据端。另一变量要接在数据端。69(4) 画连线图画连线图(与方法一相同与方法一相同)解法解法4:图形法:图形法按按 ABC顺序写出函数的标准与或式顺序写出函数的标准与或式画画F 的卡诺图的卡诺图令令 A1 = A, A0 = B要使Y=F,须D0 = 0 D1 =D2 = C D3 = 1 例例 1 用数据选择器实现函数用数据选择器实现函数ABC0100010001F10110111Y1/2 74LS153D3D2D1D0A1A0STA B(1)用用1个个 4 选选 1 数据选择器数据选择器 即即1/2 74LS1534

53、 选选 1 数据选择器的输出:数据选择器的输出:则则1CF70例例 2 用数据选择器实现函数用数据选择器实现函数 解法解法11(2) 函数函数 Z 的标准与或式的标准与或式8 选选 1(3) 确定输入变量和地址码的对应关系确定输入变量和地址码的对应关系(1) 若令若令A2 = A, A1= B, A0= C(4) 画连线图画连线图则则D2=D3 =D4 =1D0= 0用用 8 选选 1 数据选择器数据选择器 74LS151ZA B C1DD1D1=DY 74LS151D7D6D5D4D3D2D1D0A2A1A0S713. 5. 2 用二进制译码器实现组合逻辑函数用二进制译码器实现组合逻辑函数一

54、、基本原理与步骤一、基本原理与步骤1. 基本原理:基本原理:二进制译码器又叫变量译码器或最小项二进制译码器又叫变量译码器或最小项译码器译码器,它的它的输出端提供了其输入变量的输出端提供了其输入变量的全部最小项全部最小项。任何一个函数都可以任何一个函数都可以写成最小项之和的形式写成最小项之和的形式74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 722. 基本步骤基本步骤(1) 选择集成二进制译码器选择集成二进制译码器(2) 写函数的标准与或式写函数的标准与或

55、式(3) 确认变量和输入关系确认变量和输入关系 例例 用集成译码器实现函数用集成译码器实现函数(1) 三个输入变量,三个输入变量,选选 3 线线 8 线译码器线译码器 74LS138(2) 函数的标准与或式函数的标准与或式(4) 画连线图画连线图 解解 74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA 73(4) 画连线图画连线图74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA &FABC1在输出端需增加一个与非门在输出端需增加一个与非门 例例 用集成译码器实现函数用集成译码器实现函数(

56、3) 确认变量和输入关系确认变量和输入关系令令则则743.6 只读存储器只读存储器(Read Only Memory)分类分类掩模掩模 ROM可编程可编程 ROM(PROM Programmable ROM)可擦除可编程可擦除可编程 ROM(EPROM Erasable PROM)说明说明: :掩模掩模 ROMPROM生产过程中在掩模版控制下由厂家写入,内容固生产过程中在掩模版控制下由厂家写入,内容固定,不能更改定,不能更改内容可由用户编好后写入,一经写入不能更改内容可由用户编好后写入,一经写入不能更改紫外光擦除(约二十分钟)紫外光擦除(约二十分钟)EPROM存储数据可以更改,但改写麻烦,工作

57、时只读存储数据可以更改,但改写麻烦,工作时只读EEPROM 或或 E2ROM 电擦除(几十毫秒)电擦除(几十毫秒)753.6.1 ROM 的结构和工作原理的结构和工作原理1. 基本结构基本结构一、一、ROM 的结构示意图的结构示意图地址输入地址输入数据输出数据输出 n 位地址位地址 b b 位数据位数据A0A1An-1D0D1Db-1D0D1Db-1A0A1An-12nb ROM最最高高位位最最低低位位762. 内部结构示意图内部结构示意图存储单元存储单元数据输出数据输出字字线线位线位线地址译码器地址译码器ROM 存储容量存储容量 = 字线数字线数 位线数位线数 = 2n b(位)(位)地地址

58、址输输入入0单元单元1单元单元i 单元单元2n-1单元单元D0D1Db-1A0A1An-1W0W1WiW2n-1输入的输入的n位地址码位地址码An-1A0经经地址译码器译码后,产生地址译码器译码后,产生2n个个地址信号地址信号W0 、W2n-1 , 2n个地址分别对应个地址分别对应2n个存储单个存储单元,每个存储单元储存元,每个存储单元储存b位二进位二进制数制数Db-1D0,到底输出那个,到底输出那个存储单元的数据,则取决于输存储单元的数据,则取决于输入的地址码。入的地址码。773. 逻辑结构示意图逻辑结构示意图(1) 中大规模集成电路中门电路的简化画法中大规模集成电路中门电路的简化画法连上且

59、为硬连接,不能通过编程改变连上且为硬连接,不能通过编程改变编程连接,可以通过编程将其断开编程连接,可以通过编程将其断开断开断开A BDCABDY&ABCY1与门与门或门或门 78AY=AY=AAZ=AY=AAYA1A1YA1YZ缓冲器缓冲器同相输出同相输出反相输出反相输出互补输出互补输出79(2) 逻辑结构示意图逻辑结构示意图m0A0A1An-1m1mim2n-1译译码码器器Z0(D0) 或门或门Z1(D1) 或门或门Zb-1(Db-1) 或门或门2n个与门构成个与门构成 n 位二进制译码位二进制译码器器 ,对输入的对输入的n位地址码进行译位地址码进行译码,输出码,输出2n 个地址(最小项)。

60、个地址(最小项)。.n个个输输入入变变量量b 个输出函数个输出函数或门阵列或门阵列与门阵列与门阵列0单元1单元80W0(m0)W2(m2)D 0=W0+ +W2=m0+ +m2二、二、ROM 的基本工作原理的基本工作原理1. 电路组成电路组成二极管或门二极管或门二极管与门二极管与门1A111A01VccEND3END2END1END0D3 D2 D1 D0 W0(m0)W1(m1)W2(m2)W3(m3)与与门门阵阵列列( (译码器译码器) )或或门门阵阵列列( (编码器编码器) )位位线线字线字线输出输出缓冲缓冲0单元1单元W0=A1A0(m0)+VCC812. 工作原理工作原理输出信号的逻

61、辑表达式输出信号的逻辑表达式1A111A01VccEND3END2END1END0D3 D2 D1 D0 W0(m0)W1(m1)W2(m2)W3(m3)与与门门阵阵列列( (译码器译码器) )或或门门阵阵列列( (编码器编码器) )存储矩阵存储矩阵位位线线输出输出缓冲缓冲字线字线字线:字线:位线:位线:0单元1单元82输出信号的真值表输出信号的真值表0 00 11 01 10 1 0 1A1 A0D3 D2 D1 D01 0 1 00 1 1 11 1 1 03. 功能说明功能说明(1) 存储器存储器(2) 函数发生器函数发生器地址码地址码存储存储数据数据输入变量输入变量输出函数输出函数(3

62、) 译码编码器译码编码器字线字线编码编码0 1 0 11 0 1 00 1 1 11 1 1 0A1 A00 00 11 01 1输入输入变量变量输出输出函数函数第0单元的数据第1单元的数据输入二进输入二进制代码制代码编码输出编码输出译码输出译码输出833.6.2 ROM 应用举例及容量扩展应用举例及容量扩展一、一、ROM 应用举例应用举例用用 ROM 实现实现以下逻辑函数以下逻辑函数例例 3.6.2Y1= m (2,3,4,5,8,9,14,15)Y2= m (6,7,10,11,14,15)Y3= m (0,3,6,9,12,15)Y4= m (7,11,13,14,15)A1B1C1D1

63、m0m1m2m3m4m5m6m7m8m9m10m11m12m13m14m15Y2Y3Y4Y1译译码码器器存存储储矩矩阵阵与门阵列或门阵列843.7 组合电路中的竞争冒险组合电路中的竞争冒险3.7.1 竞争冒险的概念及其产生原因竞争冒险的概念及其产生原因一、竞争冒险的概念一、竞争冒险的概念 在组合逻辑电路中,当输入信号改变状态时,输出端可能在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号出现虚假信号 过渡干扰脉冲过渡干扰脉冲的现象,叫做竞争冒险。的现象,叫做竞争冒险。二、产生竞争冒险的原因二、产生竞争冒险的原因1. 原因分析原因分析&ABY0110ABY=AB 信号信号 A、B 不

64、可能突变,需要经历不可能突变,需要经历一段极短的过渡时间。而门电路的传一段极短的过渡时间。而门电路的传输时间也各不相同,故当输时间也各不相同,故当A、B同时同时改变状态时可能在输出端产生虚假信改变状态时可能在输出端产生虚假信号。号。85负尖峰脉冲冒险举例负尖峰脉冲冒险举例 可见,在组合逻辑电路中,当一个门电路可见,在组合逻辑电路中,当一个门电路( (如如 G2) )输入两个向相反方向变化的互补信号时,输入两个向相反方向变化的互补信号时,则在输出端可则在输出端可能会产生尖峰干扰脉冲。能会产生尖峰干扰脉冲。正尖峰脉冲冒险举例正尖峰脉冲冒险举例G2G1AYY=A+AA理理想想考虑门延时考虑门延时AY

65、11AY1tpdG2G1AYY=AAA理理想想考虑门延时考虑门延时Y0AAY1tpd862. 电路举例电路举例&Y3&Y1&Y2&Y0A1B1 2 位二进制译码器位二进制译码器 假设信号假设信号 AB 的变化规律如的变化规律如表中所示表中所示A B0 01 00 11 11 10 11 00 010000001产生干扰脉冲的时间:产生干扰脉冲的时间:873.7.2 消除竞争冒险的方法消除竞争冒险的方法一、引入封锁脉冲一、引入封锁脉冲&Y3&Y1&Y2&Y0A1B1P1ABP1二、引入选通脉冲二、引入选通脉冲P2P2存在的问题:存在的问题:对封锁脉冲和选通脉冲的宽度和产生时间有对封锁脉冲和选通脉

66、冲的宽度和产生时间有严格的要求。严格的要求。三、接入滤波电容三、接入滤波电容CfCf导致输出波形的边沿变坏。导致输出波形的边沿变坏。88四、修改逻辑设计增加多余项四、修改逻辑设计增加多余项3.7.2 消除竞争冒险的方法消除竞争冒险的方法ABC010001 11 1011100100例如例如:若增加多余项若增加多余项BC,则可消除冒险则可消除冒险当当B=C=1时时,Y=A+A存在竞争冒险存在竞争冒险AAY=A+A&1&1ABCAG1G2G4G3YAA&G5若函数的若函数的不同乘积项不同乘积项之间存在之间存在几何相邻的最小项几何相邻的最小项,则存在冒险,而增加由这两个几何相邻的最小项组则存在冒险,而增加由这两个几何相邻的最小项组成的成的多余项多余项,则可消除冒险。,则可消除冒险。89

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号