数字电子技术基础课件阎石主编第五版第四章

上传人:桔**** 文档编号:568248503 上传时间:2024-07-23 格式:PPT 页数:115 大小:2.79MB
返回 下载 相关 举报
数字电子技术基础课件阎石主编第五版第四章_第1页
第1页 / 共115页
数字电子技术基础课件阎石主编第五版第四章_第2页
第2页 / 共115页
数字电子技术基础课件阎石主编第五版第四章_第3页
第3页 / 共115页
数字电子技术基础课件阎石主编第五版第四章_第4页
第4页 / 共115页
数字电子技术基础课件阎石主编第五版第四章_第5页
第5页 / 共115页
点击查看更多>>
资源描述

《数字电子技术基础课件阎石主编第五版第四章》由会员分享,可在线阅读,更多相关《数字电子技术基础课件阎石主编第五版第四章(115页珍藏版)》请在金锄头文库上搜索。

1、第 四 章组合逻辑电路数数字字电电路路组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路 任一时刻的输出仅取决于任一时刻的输出仅取决于该时刻的输入,与电路原来的该时刻的输入,与电路原来的状态无关。状态无关。 任一时刻的输出不仅取决任一时刻的输出不仅取决于现时的输入,而且还与电路于现时的输入,而且还与电路原来状态原来状态 有关。有关。4.1 概述概述组合逻辑电路的框图组合逻辑电路的框图组合逻辑电路的框图组合逻辑电路的框图 组合逻辑电路在电路结构上不包含存储单组合逻辑电路在电路结构上不包含存储单元,仅仅是由各种元,仅仅是由各种门电路门电路组成,组成,4.2 组合逻辑电路的分析和设计方法组合逻辑电路的

2、分析和设计方法4.2.1 组合逻辑电路的分析方法组合逻辑电路图组合逻辑电路图写出逻辑表达式写出逻辑表达式分析方法步骤:分析方法步骤:化简化简说明功能说明功能列真值表列真值表已知逻辑电路已知逻辑电路说明逻辑功能说明逻辑功能分分 析析逻辑图逻辑图逻辑表逻辑表达式达式 1 1 最简与或最简与或表达式表达式化简 2 2 从输入到输出逐级写出最简与或最简与或表达式表达式 3 真值表真值表 3 4 电路的逻电路的逻辑功能辑功能当输入当输入A、B、C中有中有2 2个或个或3 3个为个为1 1时,输时,输出出Y为为1 1,否则,否则输出输出Y为为0 0。所。所以这个电路实以这个电路实际上是一种际上是一种3 3

3、人表决用的组人表决用的组合电路:只要合电路:只要有有2票或票或3票同票同意,表决就通意,表决就通过。过。 4 00010111解:解: 由真值表知:该电路可用来判别输入的由真值表知:该电路可用来判别输入的4位二进位二进制数数值的范围。制数数值的范围。这是一个全这是一个全加器电路加器电路形式变换形式变换写出表达式写出表达式并简化并简化4.2.2 组合逻辑电路的设计方法根据实际逻辑问题根据实际逻辑问题最简单逻辑电路最简单逻辑电路设设 计计步骤:步骤:确定输入、输出确定输入、输出列出真值表列出真值表根据设根据设计要求计要求根据设计所用根据设计所用芯片要求芯片要求画逻辑电路图画逻辑电路图选择所需选择所

4、需门电路门电路例例1:设计三人表决电路(设计三人表决电路(A、B、C)。每人)。每人一个按键,如果同意则按下,不同意则不按。一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯亮,结果用指示灯表示,多数同意时指示灯亮,否则不亮。用与非门实现否则不亮。用与非门实现.解解:1.首先指明逻辑符号取首先指明逻辑符号取“0”、“1”的含义的含义。三个按键三个按键A、B、C按下时为按下时为“1”,不按时为,不按时为“0”。输出量为。输出量为 L,多数赞成时是,多数赞成时是“1”,否,否则是则是“0”。2.根根据据题题意意列列出出真真值值表表A B C L 0 0 0 0 0 0 1

5、0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 3.画出卡诺图化简:画出卡诺图化简:ABC000011111011110000ABBCACL= AC + BC + AB4 4、用与非门实现逻辑电路、用与非门实现逻辑电路A AB BC CL L例例4.2.2:解解: :取红取红、黄、绿三盏灯分别用黄、绿三盏灯分别用R、A、G表示,表示,设灯亮为设灯亮为“1 1”,不,不亮为亮为“0 0”;故障信号为输出变;故障信号为输出变量用量用Z Z表示,规定正常为表示,规定正常为“0 0”,不正常为不正常为“1 1”。R A G Z 0 0 0 1 0 0

6、 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 1 1、列真值表、列真值表2、写逻辑函数式、写逻辑函数式3、化简、化简R RAGAG000011111011111000RGRAAG4、画逻辑图、画逻辑图用与非门实现用与非门实现用与或非门实现用与或非门实现R RAGAG000011111011111000 4.3 若干常用的组合逻辑电路若干常用的组合逻辑电路4.3.1 编码器编码编码:用二进制代码来表示某一信息(文:用二进制代码来表示某一信息(文字、数字、符号)的过程。字、数字、符号)的过程。 实现编码操作的电路称为实现编码操作的电路称为

7、编码器编码器。编编码码器器高?低高?低?码?码?普普通通编编码码器器3位二进制(位二进制(8线线3线)编码器真值表线)编码器真值表任何时刻只允许输入一个编码信号,否则输出将发生混乱。任何时刻只允许输入一个编码信号,否则输出将发生混乱。一、二进制编码器一、二进制编码器输入端:输入端:2n输出端:输出端:n高电平有效高电平有效图图4.3.2优先编码器优先编码器 在优先编码器电路中,允许同时输入两个以在优先编码器电路中,允许同时输入两个以上编码信号。编码时只对上编码信号。编码时只对优先权优先权最高的进行编码。最高的进行编码。8线线3线优先编码器线优先编码器74LS148逻辑图(图逻辑图(图4.3.3

8、)。)。选通输入端选通输入端选通输出端选通输出端扩展端扩展端输输入入:逻辑:逻辑0(0(低电平)有效低电平)有效输输出出:逻辑:逻辑0(0(低电平)有效低电平)有效低电平表示低电平表示“电路工电路工作,但无编码输入作,但无编码输入”低电平表示低电平表示“电路工电路工作,且有编码输入作,且有编码输入”例例4.3.1:试用两片试用两片74LS148组成组成16线线4线优先编码器。线优先编码器。优先权优先权最高最高 均无信号时,才允许对均无信号时,才允许对 输入信号编码。输入信号编码。00101111111001 1 1 11 0 1(1)片处于编码状态片处于编码状态,(2)片被封锁。片被封锁。1

9、1 1 1 1 1 1 110(2)片处于编码状态片处于编码状态111010010101 11 0 1 0 1二、二十进制编码器二、二十进制编码器输入端输入端10 个,输出端个,输出端4个,也称个,也称10线线4线编码器。线编码器。集成集成10线线-4线优先编码器线优先编码器输入输输入输出均低出均低电平有电平有效。效。功能表见功能表见表表4.3.34.3.2 译码器译码译码:将二进制代码翻译成对应的输出信:将二进制代码翻译成对应的输出信号的过程。号的过程。译码是编码的逆过程译码是编码的逆过程。 实现译码操作的电路称为实现译码操作的电路称为译码器译码器。 常用的译码器有常用的译码器有:二进制译码

10、器二进制译码器、二二十进制译码器十进制译码器、显示译码器显示译码器三类。三类。一、二进制译码器一、二进制译码器 输入端:输入端:n 输出端:输出端:2n 二进制译码器的输入端为二进制译码器的输入端为n n个,则输出端为个,则输出端为2 2n n个,个,且对应于输入代码的每一种状态,且对应于输入代码的每一种状态,2 2n n个输出中只有个输出中只有一个为一个为1 1(或为(或为0 0),其余全为),其余全为0 0(或为(或为1 1)。)。 2 线线 4线译码器线译码器74LS139 (输出低电平有效)(输出低电平有效) 真值表真值表 0 0 1 1 1 00 1 1 1 0 11 0 1 0 1

11、 11 1 0 1 1 1 A1A0画关于画关于 的卡诺图的卡诺图A1A001111100 3 3位二进制译码器位二进制译码器(3(3线线-8-8线译码器线译码器) )输输入入:3位二进制代码位二进制代码输输出出:8个互斥的信号(高电平有效)个互斥的信号(高电平有效)74HC138集成译码器集成译码器S=1,译码器正常工作译码器正常工作100片选输入端片选输入端(使能端)(使能端)输出低电平有效输出低电平有效地址输入端地址输入端3线线8线译码器线译码器74HC138功能表功能表当当S1=1, =0, =0(即(即S=1)时,可得输出)时,可得输出例例4.3.2:试试用用两两片片3线线8线线译译

12、码码器器74HC138组组成成4线线16线译码器。线译码器。(1)片工作,片工作,(2)片禁止。若输入片禁止。若输入D3D2D1D0=0100时,时,译码器译码器_输出输出_。000(1)11110111(2)片工作,片工作,(1)片禁止。若输入片禁止。若输入D3D2D1D0=1101时,时,译码器译码器_输出输出_。111(2)11111011二、二十进制译码器二、二十进制译码器输入端:输入端:4 输出端:输出端:10二二- -十进制译码器的输入是十进制数的十进制译码器的输入是十进制数的4 4位二进制位二进制编码(编码(BCDBCD码),分别用码),分别用A A3 3、A A2 2、A A1

13、 1、A A0 0表示;输表示;输出的是与出的是与1010个十进制数字相对应的个十进制数字相对应的1010个信号,用个信号,用Y Y9 9Y Y0 0表示。由于二表示。由于二- -十进制译码器有十进制译码器有4 4根输入线,根输入线,1010根输出线,所以又称为根输出线,所以又称为4 4线线-10-10线译码器线译码器。集成集成8421 BCD8421 BCD码译码器码译码器74LS4274LS42三、显示译码器三、显示译码器 用来驱动各种显示器件,从而将用二进制代用来驱动各种显示器件,从而将用二进制代码表示的数字、文字、符号翻译成人们习惯的码表示的数字、文字、符号翻译成人们习惯的形式直观地显

14、示出来的电路,称为形式直观地显示出来的电路,称为显示译码器显示译码器。数字、文字、数字、文字、符号代码符号代码译码器译码器显示器显示器 半导体数码管半导体数码管显示器件显示器件: 常用的是常用的是七段显示器件七段显示器件abcdefgabcdefg510510 YaYaYbYbYgYga ab bg g510510 510510 发发光光二二极极管管Ya-Yg: Ya-Yg: 控制信号控制信号高电平时高电平时, ,对应的对应的LEDLED亮亮低电平时低电平时, ,对应的对应的LEDLED灭灭abcdfga b c d e f g1 1 1 1 1 1 00 1 1 0 0 0 01 1 0 1

15、 1 0 1e BCDBCD七段显示译码器七段显示译码器A A3 3-A-A0 0: : 输入数据输入数据要设计的七段显示译码器要设计的七段显示译码器a aYaYaYbYbYcYcYdYdYeYeYfYfYgYg译译 码码 器器A A3 3A A2 2A A1 1A A0 0b bc cd de ef fg g十进制数十进制数 A A3 3A A2 2A A1 1A A0 0 Y Ya a Y Yb b Y Yc c Y Yd d Y Ye e Y Yf f Y Yg g 显示字形显示字形 0 0 0 0 0 00 0 0 0 1 1 1 1 1 11 1 1 1 1 0 01 0 0 1 1

16、 0 0 0 10 0 0 1 0 0 1 1 0 0 0 0 11 1 0 0 0 0 1 2 2 0 0 1 0 0 0 1 0 1 1 1 0 1 1 0 1 2 1 0 1 1 0 1 2 3 3 0 0 1 1 0 0 1 1 1 1 1 1 1 0 0 1 3 1 1 1 0 0 1 3 4 4 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 1 4 1 1 0 0 1 1 4 5 5 0 1 0 1 0 1 0 1 1 1 0 1 1 0 1 1 50 1 1 0 1 1 5 6 0 1 1 0 6 0 1 1 0 0 0 0 1 1 1 1 1 60 1 1 1 1

17、 1 6 7 7 0 1 1 1 0 1 1 1 1 1 1 1 0 0 0 0 7 1 1 0 0 0 0 7 8 8 1 0 0 0 1 0 0 0 1 1 1 1 1 1 1 1 8 1 1 1 1 1 1 8 9 9 1 0 0 1 1 0 0 1 1 1 1 1 0 0 1 1 9 1 1 0 0 1 1 9 A3A2A1A000110100100111101111111000000001Ya先设计输出先设计输出YaYa的逻辑表示式及电路图的逻辑表示式及电路图七段显示译码器七段显示译码器7448引脚排列图引脚排列图灯测试输入灯测试输入灭零输入灭零输入灭灯输入灭零输出灭灯输入灭零输出图

18、4.3.18 用7448驱动BS201的连接方法RBIRBI和和RBORBO配合使用,可使多位数字显示时的配合使用,可使多位数字显示时的最高位及小数点后最低位的最高位及小数点后最低位的0 0不显示不显示0 0 0 6 7 . 9 0 00 0 0 6 7 . 9 0 0四、译码器的应用四、译码器的应用 例例4.3.3:试试用用3线线8线线译译码码器器74HC138设设计计一一个个多多输输出的组合逻辑电路。输出逻辑函数式为出的组合逻辑电路。输出逻辑函数式为解:解:化为最小项之和的形式:化为最小项之和的形式:当当S1=1, S2=S3=0时时,令令A2=A, A1=B, A0=C ,则则画电路图画

19、电路图例:例:分析下图电路逻辑功能。分析下图电路逻辑功能。解:解:这是一个全这是一个全加器电路加器电路4.3.3 数据分配器与数据选择器定义定义:将公共数据线上的信号根据需要送到多个:将公共数据线上的信号根据需要送到多个 不同通道上去的逻辑电路。不同通道上去的逻辑电路。 一、数据分配器一、数据分配器框图:框图:输入端输入端:1个个输出端输出端:2n个个由由74HC138构成的构成的1 1路路-8-8路数据分配器路数据分配器数据输入端数据输入端地址输入端地址输入端数数据据输输出出端端二、数据选择器二、数据选择器 定义定义:根据需要将多路信号中选择一路送到公共:根据需要将多路信号中选择一路送到公共

20、数据线上的逻辑电路数据线上的逻辑电路(又称又称多路开关多路开关).n位通道选择信号位通道选择信号数据选择器数据选择器D0D1D2D2n-1Y输入端:输入端:2n个个输出端:输出端:1个个1 1、2 2选选1 1数据选择器数据选择器 A F 0 0 D0 0 1 1 D1 1集成化集成化 D0 0D1 1FA1 1& & &D0 0D1 1A 1 1F输输入入数数据据输输出出数数 据据控制信号控制信号 真值表真值表地地址址变变量量输输入入数数据据由地址码决定从路输入中由地址码决定从路输入中选择哪路输出。选择哪路输出。2 2、4 4选选1 1数据选择器数据选择器A1 A0 Y 0 0 D0 0 1

21、 D1 1 0 D2 1 1 D3 D0 0A0 0D3 3D2D1A1 1Y即:即:型号型号: :74HC153 双双4 4选选1 1数据选择器数据选择器集成电路数据选择器集成电路数据选择器 选通控制端选通控制端S S为低电平有效,即为低电平有效,即S S=0=0时芯片被时芯片被选中,处于工作状态;选中,处于工作状态;S S=1=1时芯片被禁止,时芯片被禁止,Y00。输出输出输入输入A1A0Y11 10 00 0 00 0 00 1 00 1 01 0 01 0 01 1 01 1 0D10D11D12D13集成集成8 8选选1 1数据选择数据选择器器74HC15174HC151的的真真值值

22、表表扩展扩展: :例例4.3.4用双用双4选选1数数据选择器构据选择器构成成8选选1数据数据选择器选择器.A2=0时,上边一半数据选择器工作,数据时,上边一半数据选择器工作,数据D0D3选选择一路输出;择一路输出;A2=1时,下边一半数据选择器工作,数据时,下边一半数据选择器工作,数据D4D7选选择一路输出。择一路输出。2片片8选选1数据选择器数据选择器74LS151构成构成16选选1的数据选择器的数据选择器用数据选择器设计组合逻辑电路用数据选择器设计组合逻辑电路步骤:步骤:1.列出所求逻辑函数的真值表,写出其最小项表达式。列出所求逻辑函数的真值表,写出其最小项表达式。2.根据上述函数包含的变

23、量数,选定数据选择器。根据上述函数包含的变量数,选定数据选择器。3.对照比较所求逻辑函数式和数据选择器的输出表达式对照比较所求逻辑函数式和数据选择器的输出表达式确定选择器输入变量的表达式或取值。确定选择器输入变量的表达式或取值。4.按照求出的表达式或取值连接电路,画电路连线图。按照求出的表达式或取值连接电路,画电路连线图。确定数据选择器确定数据选择器确定地址变量确定地址变量 2 1 n个地址变量的数据选择器,不需要增加门电路,最多可实现n1个变量的函数。3个变量,选用4选1数据选择器。A1=A、A0=B逻辑函数逻辑函数 1 选用选用74HC153 2 74HC153有两个地址变量。求求Di 3

24、 (1)公式法)公式法函数的标准与或表达式:4选1数据选择器输出信号的表达式:比较L和Y,得: 3 画连线图画连线图 4 4 求求Di的的方法方法(2)真值表法)真值表法C=1时时L=1,故故D0=CL=0,故,故D2=0L=1,故,故D3=1C=0时时L=1,故故D1=C求求Di的的方法方法(3)图形法)图形法D0D1D3D2解:解:例例4.3.6对照对照74HC151输出表达式,求输出表达式,求Di写出最小项表达式写出最小项表达式 选用选用8选选1数据选择器数据选择器74HC151,当,当S=0时,时, 令令A2=A、A1=B 、A0=C,代入上式得:代入上式得:比较比较L和和Y,得:,得

25、:画连线图画连线图另解另解:写出最小项表达式写出最小项表达式选用双选用双4选选1数据选择器数据选择器74HC153其中的一半,其中的一半,当当S1=0时,令时,令A1=A、A0=B,代入上式得:代入上式得:对照对照74HC153输出表达式,求输出表达式,求Di可得:可得: D10C D11C D12=C D13=C 画连线图画连线图例例4.3.5(例(例4.2.2交通灯监视电交通灯监视电 路):路):解解: :取红取红、黄、绿三盏灯分别用黄、绿三盏灯分别用R、A、G表示,表示,设灯亮为设灯亮为“1 1”,不,不亮为亮为“0 0”;故障信号为输出变;故障信号为输出变量用量用Z Z表示,规定正常为

26、表示,规定正常为“0 0”,不正常为不正常为“1 1”。R A G Z 0 0 0 1 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 列真值表列真值表 写逻辑函数式写逻辑函数式 选选2个地址输入端的个地址输入端的4选选1数据选择器数据选择器(74HC153)当当S1=0时,令时,令A1=R、A0=A,代入上式得:代入上式得:对照对照74HC153输出表达式,求输出表达式,求Di可得:可得: D10G D11G D12=G D13=1 画连线图画连线图例:例:分析下图电路逻辑功能。分析下图电路逻辑功能。解解: :S1=S2=074

27、HC153正常工作,且A1=A,A0=B这是一个全这是一个全加器电路加器电路数据分配器和数据选择器一起构成数据分时传送系统数据分配器和数据选择器一起构成数据分时传送系统4.3.4 加法器举例:举例:A=1101, B=1001, 计算计算A+B1 1 0 11 0 0 1+011010011加法运算的基本规则加法运算的基本规则:(1)逢二进一。)逢二进一。(2)最低位是两个数最低位的相加,不需)最低位是两个数最低位的相加,不需考虑进位。考虑进位。(3)其余各位都是三个数相加,包括加数、)其余各位都是三个数相加,包括加数、被加数和低位来的进位。被加数和低位来的进位。(4)任何位相加都产生两个结果

28、:本位和、)任何位相加都产生两个结果:本位和、向高位的进位。向高位的进位。(1)半加器:)半加器: 半加运算不考虑从低位来的进位半加运算不考虑从低位来的进位A-加数加数;B-被加数被加数;S-本位和本位和;Co-进位。进位。真值表真值表1位加法器位加法器逻辑图逻辑图逻辑符号逻辑符号2个输入端个输入端2个输出个输出端端(2)全加器:)全加器:A-加数;加数;B-被加数;被加数;Ci-低位的进位;低位的进位;S-本位和;本位和;Co-进位。进位。逻辑状态表见下页逻辑状态表见下页 相加过程中,既考虑加数、被加数又考相加过程中,既考虑加数、被加数又考虑低位的进位。虑低位的进位。课本上采用了圈课本上采用

29、了圈0的方法的方法3个输入端个输入端2个输出个输出端端双全加器双全加器74LS183(1)串行进位加法器)串行进位加法器如图:用全加器实现如图:用全加器实现4位二进制数相加。位二进制数相加。低位全加器进位输出低位全加器进位输出 高位全加器进位输入高位全加器进位输入注意:注意:CICI0 0=0=0和进位多位加法器多位加法器(2)超前进位加法器)超前进位加法器例例4.3.7解:解: BCD码码+0011=余余3码码设输入设输入8421码用变码用变量量DCBA表示,输表示,输出余三码用变量出余三码用变量Y3Y2Y1Y0表示。表示。则有则有Y3Y2Y1Y0 DCBA+0011解:解:设输入余三码用变

30、设输入余三码用变量量DCBA表示,输表示,输出出8421码用变量码用变量Y3Y2Y1Y0表示。则表示。则有有Y3Y2Y1Y0 DCBA+0011补补DCBA+1101用一片用一片74LS283将余三码转换成将余三码转换成8421BCD码。码。余余3码码0011=BCD码码全减器真值表全减器真值表A-被减数;被减数;B-减数;减数;C-低位的借位;低位的借位;D-本位差;本位差;J-向高位的借位。向高位的借位。4.3.5 数值比较器定义定义:对两数:对两数A、B(可以是一位,也可是多(可以是一位,也可是多 位)进行大小比较的逻辑电路。比较位)进行大小比较的逻辑电路。比较 的结果有的结果有AB、A

31、B) I(AB)Y(AB3A3B2A2B1A1B0A0B0A0=B0A0=B0A0=B01 0 00 1 00 0 11 0 00 1 01 0 00 1 01 0 00 1 01 0 00 1 01 0 00 1 00 0 1集成数值比较器集成数值比较器 例例4.3.8 试用两片试用两片74LS85组成一个组成一个8位数值比较器。位数值比较器。 4.4 组合逻辑电路中的竞争冒险现象组合逻辑电路中的竞争冒险现象 在组合电路中,当输入信号的状态改变在组合电路中,当输入信号的状态改变时,输出端可能会出现不正常的干扰时,输出端可能会出现不正常的干扰 信号,信号,使电路产生错误的输出,这种现象称为使电

32、路产生错误的输出,这种现象称为 竞争竞争冒险冒险。一一. .竞争冒险的概念竞争冒险的概念原因:主要是门电路的延迟时间产生的。原因:主要是门电路的延迟时间产生的。干扰信号干扰信号 二二. .产生竞争冒险的原因产生竞争冒险的原因三三. . 检查竞争冒险的方法检查竞争冒险的方法只要输出端的逻辑函数在一定条件下能简化成只要输出端的逻辑函数在一定条件下能简化成或或则可出现竞争冒险现象。则可出现竞争冒险现象。当当B=C=1时,时,YA+A存在竞争冒险存在竞争冒险当当A=C=0时时存在竞争冒险存在竞争冒险图图(a)图图(b)四四. . 消除竞争冒险的方法消除竞争冒险的方法接入滤波电容接入滤波电容引入选通脉冲引入选通脉冲修改逻辑设计(增加冗余项)修改逻辑设计(增加冗余项)作业P210 (1)4.3 (2)4.5 (3)4.9 (4)4.11 (5)4.12 (6)4.18熟练掌握组合逻辑的分析(按部就班)熟练掌握一些简单组合逻辑电路的设计熟练掌握常用组合逻辑电路的分析和设计方法(编码器译码器数据选择器加法器数值比较器)115 以上有不当之处,请大家给与批评指正,谢以上有不当之处,请大家给与批评指正,谢谢大家!谢大家!

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号