静态时序分析基本原理和时序分析模型课件

上传人:M****1 文档编号:567702258 上传时间:2024-07-22 格式:PPT 页数:26 大小:684.50KB
返回 下载 相关 举报
静态时序分析基本原理和时序分析模型课件_第1页
第1页 / 共26页
静态时序分析基本原理和时序分析模型课件_第2页
第2页 / 共26页
静态时序分析基本原理和时序分析模型课件_第3页
第3页 / 共26页
静态时序分析基本原理和时序分析模型课件_第4页
第4页 / 共26页
静态时序分析基本原理和时序分析模型课件_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《静态时序分析基本原理和时序分析模型课件》由会员分享,可在线阅读,更多相关《静态时序分析基本原理和时序分析模型课件(26页珍藏版)》请在金锄头文库上搜索。

1、2009AlteraCorporation1QuartusQuartus II Software Design II Software Design Series: Timing AnalysisSeries: Timing Analysis- - Timing analysis basicsTiming analysis basics2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation2Objectivesn

2、Displayacompleteunderstandingoftiminganalysis2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation3How does timing verification work?nEverydevicepathindesignmustbeanalyzedwithrespecttotimingspecifications/requirements-Catchtiming-rela

3、tederrorsfasterandeasierthangate-levelsimulation&boardtestingnDesignermustentertimingrequirements&exceptions-Usedtoguidefitterduringplacement&routing-UsedtocompareagainstactualresultsINCLKOUTDQCLRPREDQCLRPREcombinationaldelaysCLR2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Qua

4、rtus,andMegaCorearetrademarksofAlteraCorporation4Timing Analysis BasicsnLaunchvs.latchedgesnSetup&holdtimesnData&clockarrivaltimenDatarequiredtimenSetup&holdslackanalysisnI/OanalysisnRecovery&removalnTimingmodels2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorea

5、retrademarksofAlteraCorporation5Path & Analysis TypesThreetypesofPaths:1.ClockPaths2.DataPath3.AsynchronousPaths*ClockPathsAsyncPathDataPathAsyncPathDQCLRPREDQCLRPRETwotypesofAnalysis:1.Synchronousclock&datapaths2.Asynchronous*clock&asyncpaths*Asynchronous refers to signals feeding the asynchronous

6、control ports of the registers2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation6Launch & Latch EdgesCLKLaunch Launch EdgeEdgeLatch Latch EdgeEdgeDataValidDATALaunchEdge:theedgewhich“launches”thedatafromsourceregisterLatchEdge:thee

7、dgewhich“latches”thedataatdestinationregister(withrespecttothelaunchedge,selectedbytiminganalyzer;typically1cycle)2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation7Setup & HoldSetup:TheminimumtimedatasignalmustbestableBEFOREclocke

8、dgeHold:TheminimumtimedatasignalmustbestableAFTERclockedgeDQCLRPRECLKThValidDATATsuCLKDATATogether, the setup time and hold time form a Data Required Window, the time around a clock edge in which data must be stable.2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaC

9、orearetrademarksofAlteraCorporation8Data Arrival TimeDataArrivalTime=launchedge+Tclk1+Tco+TdataCLKREG1.CLKTclk1DataValidREG2.DTdataLaunchEdgeDataValidREG1.QTconThetimefordatatoarriveatdestinationregistersDinputREG1PREDQCLRREG2PREDQCLRComb.LogicTclk1TCOTdata2009AlteraCorporationAltera,Stratix,Arria,C

10、yclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation9Clock Arrival TimeClockArrivalTime=latchedge+Tclk2CLKREG2.CLKTclk2LatchEdgenThetimeforclocktoarriveatdestinationregistersclockinputREG1PREDQCLRREG2PREDQCLRComb.LogicTclk22009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX

11、,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation10Data Required Time - SetupDataRequiredTime=ClockArrivalTime-Tsu-SetupUncertaintyCLKREG2.CLKTclk2LatchEdgenTheminimumtimerequiredforthedatatogetlatchedintothedestinationregisterTsuDataValidREG2.DDatamustbevalidhereREG1PREDQCLRREG2PRE

12、DQCLRComb.LogicTclk2Tsu2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation11Data Required Time - HoldDataRequiredTime=ClockArrivalTime+Th+HoldUncertaintyCLKREG2.CLKTclk2LatchEdgenTheminimumtimerequiredforthedatatogetlatchedintothede

13、stinationregisterThDatamustremainvalidtohereDataValidREG2.DREG1PREDQCLRREG2PREDQCLRComb.LogicTclk2Th2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation12Tclk2Setup SlackREG2.CLKnThemarginbywhichthesetuptimingrequirementismet.Itensur

14、eslauncheddataarrivesintimetomeetthelatchingrequirement.TsuCLKREG1.CLKTclk1DataValidREG2.DTdataDataValidREG1.QTco Setup SlackLaunchEdgeLatchEdgeREG1PREDQCLRREG2PREDQCLRComb.LogicTclk1TCOTdataTclk2Tsu2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarkso

15、fAlteraCorporation13Setup Slack (contd)Positiveslack-TimingrequirementmetNegativeslack-TimingrequirementnotmetSetup Slack = Data Required Time Data Arrival Time2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation14Hold SlackREG2.CLKT

16、clk2nThemarginbywhichtheholdtimingrequirementismet.Itensureslatchdataisnotcorruptedbydatafromanotherlaunchedge.ThCLKREG1.CLKTclk1DataValidREG2.DTdataDataValidREG1.QTcoHoldSlackLatchEdgeNextLaunchEdgeREG1PREDQCLRREG2PREDQCLRComb.LogicTclk1TCOTdataTclk2Th2009AlteraCorporationAltera,Stratix,Arria,Cyclo

17、ne,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation15Hold Slack (contd)Positiveslack-TimingrequirementmetNegativeslack-TimingrequirementnotmetHold Slack = Data Arrival Time Data Required Time2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorea

18、retrademarksofAlteraCorporation16FPGA/CPLD or ASSPASSP or FPGA/CPLDI/O Analysis nAnalyzingI/Operformanceinasynchronousdesignusesthesameslackequations-Mustincludeexternaldevice&PCBtimingparametersreg1PREDQCLRreg2PREDQCLRCL*TdataTclk1Tclk2TCOTsu/ThOSCDataArrivalPathDataArrivalPathDataRequiredPath* Rep

19、resents delay due to capacitive loading2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation17Recovery & RemovalRecovery:TheminimumtimeanasynchronoussignalmustbestableBEFOREclockedgeRemoval:Theminimumtimeanasynchronoussignalmustbestab

20、leAFTERclockedgeDQCLRSETCLKTremValidASYNCTrecCLKASYNC2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation18Asynchronous = Synchronous?nAsynchronouscontrolsignalsourceisassumedsynchronous-Slackequationsstillapplyldataarrivalpath=async

21、hronouscontrolpathlTsuTrec;ThTrem-Externaldevice&boardtimingparametersmaybeneeded(Ex.1)ASSPreg1PREDQCLRFPGA/CPLDreg2PREDQCLROSCFPGA/CPLDreg1PREDQCLRreg2PREDQCLRExample1Example2Data arrival pathData arrival pathData required pathData required path2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,

22、HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation19Why Are These Calculations Important?nCalculationsareimportantwhentimingviolationsoccur-NeedtobeabletounderstandcauseofviolationnExamplecauses-Datapathtoolong-Requirementtooshort(incorrectanalysis)-Largeclockskewsignifyingagatedclock

23、,etc.nTimeQuesttiminganalyzerusesthem-Equationstocalculateslack-Terminology(launchandlatchedges,DataArrivalPath,DataRequiredPath,etc.)intimingreports2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation20Timing Models in DetailnQuartu

24、sIIsoftwaremodelsdevicetimingattwoPVTconditionsbydefault-Slow CornerModellIndicatesslowestpossibleperformanceforanysinglepathlTimingforslowestdeviceatmaximumoperatingtemperatureandVCCMIN-Fast CornerModellIndicatesfastestpossibleperformanceforanysinglepathlTimingforfastestdeviceatminimumoperatingtemp

25、eratureandVCCMAXnWhytwocornertimingmodels?-Ensuresetuptimingismetinslowmodel-EnsureholdtimingismetinfastmodellEssentialforsourcesynchronousinterfacesnThirdmodel(slow,min.temp.)availableonlyfor65nmandsmallertechnologydevices(temperatureinversionphenomenon)2009AlteraCorporationAltera,Stratix,Arria,Cyc

26、lone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation21Generating Fast/Slow NetlistnSpecifyoneofthedefaulttimingmodelstobeusedwhencreatingyournetlistnDefaultistheslowtimingnetlistnTospecifyfasttimingnetlist-Use-fast_modeloptionwithcreate_timing_netlistcommand-ChooseFast cornerin

27、GUIwhenexecutingCreate Timing NetlistfromNetlistmenu-CANNOTselectfastcornerfromTasksPane2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation22Specifying Operating Conditions nPerformtiminganalysisfordifferentdelaymodelswithoutrecreat

28、ingtheexistingtimingnetlistnTakesprecedenceoveralreadygeneratednetlistnRequiredforselectingslow,min.temp.modelandothermodels(industrial,military,etc.)dependingondevicenUseget_available_operating_conditionstoseeavailableconditionsfortargetdevice2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,Ha

29、rdCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporationReference DocumentsReference DocumentsnQuartusIIHandbook,Volume3,Chapter7TheQuartusIITimeQuestTimingAnalyzernQuickStartTutorialnCookbook2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarkso

30、fAlteraCorporationReference DocumentsReference DocumentsnSDCandTimeQuestAPIReferenceManualnAN481:ApplyingMulticycleExceptionsintheTimeQuestTimingAnalyzernAN433:ConstrainingandAnalyzingSource-SynchronousInterfaces2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorea

31、retrademarksofAlteraCorporation25Instructor-Led TrainingWithAlterasinstructor-ledtrainingcourses,youcan:ListentoalecturefromanAlteratechnicaltrainingengineer(instructor)Completehands-onexerciseswithguidancefromanAlterainstructorAskquestions&receivereal-timeanswersfromanAlterainstructorEachinstructor

32、-ledclassisoneortwodaysinlength(8workinghoursperday). Online TrainingWithAlterasonlinetrainingcourses,youcan:TakeacourseatanytimethatisconvenientforyouTakeacoursefromthecomfortofyourhomeoroffice(noneedtotravelaswithinstructor-ledcourses)Eachonlinecoursewilltakeapproximateonetothreehourstocomplete.Vi

33、ewtrainingclassschedule®isterforaclassLearn More Through Technical Training2009AlteraCorporationAltera,Stratix,Arria,Cyclone,MAX,HardCopy,Nios,Quartus,andMegaCorearetrademarksofAlteraCorporation26Altera Technical SupportnReferenceQuartusIIsoftwareon-linehelpnQuartusIIHandbooknConsultAlteraapplications(factoryapplicationsengineers)-MySupport:-Hotline:(800)800-EPLD(7:00a.m.-5:00p.m.PST)nFieldapplicationsengineers:contactyourlocalAlterasalesofficenReceiveliteraturebymail:(888)3-ALTERAnFTP:nWorld-wideweb:-Usesolutionstosearchforanswerstotechnicalproblems-Viewdesignexamples

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号