ADS软件仿真技术PPT课件

上传人:桔**** 文档编号:567683861 上传时间:2024-07-22 格式:PPT 页数:51 大小:4.98MB
返回 下载 相关 举报
ADS软件仿真技术PPT课件_第1页
第1页 / 共51页
ADS软件仿真技术PPT课件_第2页
第2页 / 共51页
ADS软件仿真技术PPT课件_第3页
第3页 / 共51页
ADS软件仿真技术PPT课件_第4页
第4页 / 共51页
ADS软件仿真技术PPT课件_第5页
第5页 / 共51页
点击查看更多>>
资源描述

《ADS软件仿真技术PPT课件》由会员分享,可在线阅读,更多相关《ADS软件仿真技术PPT课件(51页珍藏版)》请在金锄头文库上搜索。

1、2021/7/2312021/7/2322021/7/233ADS(Advanced Design System)美国安捷伦(Agilient)公司推出的微波电路及通信系统仿真软件集成了从IC级到电路级直至系统级的仿真模块支持射频及系统工程师开发所有类型的RF设计,不需要在设计中停下来更换设计工具。当前最新版本为2014.012021/7/234ADS集成自顶向下的设计理念分析仿真处理的数据系统级射频子系统DSP浮点或定点晶体管级RTL HDL下变频数字接收机GMSK解调射频前端wire 6:0 M1_B_1_Result; / hpeesof_id : M1.B_1wire 9:0 M1_B

2、_2_Result; / hpeesof_id : M1.B_2hp_CONST_S C5 (.Result(C5_Result);defparam C5.Width = 3;defparam C5.ConstValue = 24576;hp_ADD_SATTRUNC_S A5 (.A(R4_R1_Q),.B(M3_Result),.Result(A5_Result);结果从仪器获得真实信号2021/7/235射频仿真设计中的三个阶段系统级设计电路级设计器件级设计RF系统设计系统设计RF电路设计电路设计RF器件设计器件设计基带部分基带部分用户需求用户需求 系统目标系统目标网络结构网络结构其他其

3、他RF设计流程设计流程需求迭代集成2021/7/236ADS系统级分析ADS电路级分析ADS器件级分析2021/7/237安捷伦第12届用户论文集2021/7/238ADS核心仿真方法高频SPICE分析及卷积分析(Convolution)基于SPICE仿真器,可分析低频与高频、线性与非线性电路的瞬态效应.并利用卷积的方法从时域上分析一些频域描述的相关器件,如S参数定义的网络、传输线等。线性分析 基于频域的线性仿真,用于描述电路网络的线性参数,如S、Z、Y和H参数、电路阻抗、噪声、增益、反射系数、稳定性等。2021/7/239 ADS核心仿真方法谐波平衡分析(Harmonic Balance)

4、这是一种用于获取非线性电路和系统的稳态响应解的高精度频域分析方法。它假定信号是由一些稳态正弦信号组成,则系统的响应解也为稳态正弦信号的叠加。 可以仿真优化功放、振荡器、混频器的非线性稳态响应,用于分析电路的非线性,如噪声、功率压缩、谐波等。电路包络分析(Circuit Envelope) 安捷伦的专利技术,其特点为对于任何类型的高频调制信号(如GSM、QPSK、QAM、LFM)均可分解为时域与频域两部分处理,ADS借鉴SPICE与谐波分析的优点,对低频调制信号用SPICE,对高频载波用谐波平衡仿真。2021/7/2310 ADS核心仿真方法电磁仿真分析(Momentum) 基于钜量法(MOM)

5、,可用于2.5D平面电磁仿真分析。可计算微带线、带状线、共面波导等的电磁特性,天线的辐射特性以及电路板上的寄生、耦合效应。仿真结构为S参数、远区辐射场以及表面电流分布。托勒密分析(Ptolemy) ADS中提供了数字元件模型(如FIR滤波器、IIR滤波器、AND逻辑门等)、通信系统元件模型(如调制解调器、Raised Cosine滤波器)及模拟高频元件模型。具有数模共仿、数字通信系统搭建分析的能力。2021/7/2311ADS的仿真流程ADS激励模型控件SDCP-12021/7/2312启动启动ADS2009ADS2009 1. 1. 程序菜单启动程序菜单启动 2. 2. 桌面快捷方式启动桌面

6、快捷方式启动2021/7/2313创建新的工程文件创建新的工程文件 2021/7/2314一个项目的所有设计及数据都包含在以上几个文件夹中(基于ADS2009)data:项目中的仿真数据mom_dsn:项目中与钜量法有关的设计及数据networks:项目中的原理图及布局图synthesis:项目中数字信号处理的相关数据verification:项目中的设计规则及校验数据项目文件夹视图项目文件夹视图2021/7/2315显示显示数据数据窗口窗口仿真仿真结果结果2021/7/2316菜单栏菜单栏元器件元器件面板面板工具栏工具栏原理图设计窗口原理图设计窗口元器件的电气连元器件的电气连接有两种方法:接

7、有两种方法:直接连线和命名直接连线和命名节点。节点。2021/7/2317版图设计窗口2021/7/2318数据显示窗口以多种图表和格式显示数据使用标记读取曲线上特定点的数据使用方程式表现对数据的处理用文本及插图注释结果2021/7/2319ADS中元器件选用使用ADS自带的元器件库(元器件面板&Library),可以完成大部分射频仿真对于特定公司的元器件,可以在相关器件厂商的官网上下载该公司发布的DesignKit2021/7/2320DesignKit的安装在器件厂商的官网或者技术支持处获取DesignKit文件,为.ZIP格式在ADS的主窗口中,点击DesignKit下的install

8、design kit加载.ZIP格式的文件如果Path、Name等栏显示黑色,则表示可成功加载,如果显示红色,则表示加载失败2021/7/2321LineCaclADS提供的一个方便快捷的传输线计算工具软件2021/7/2322Smith Chart Utility ToolADS提供的一个史密斯圆图计算工具软件2021/7/2323ADS中的主要仿真控制器 在ADS中对每一种典型的电路分析方法都有相应的仿真控制器,需要熟练地掌握这几种仿真控制器的使用。直流(DC)仿真控制器交流(AC)仿真控制器S参数仿真控制器谐波平衡仿真控制器大信号S参数仿真控制器增益压缩(XDB)仿真控制器包络(Enve

9、lope)仿真控制器瞬态(Transient)仿真控制器2021/7/2324直流(DC)仿真控制器直流仿真控制器用来分析模拟、射频电路的直流工作特性不仅可以提供单点的直流分析,还可以提供对参数扫描的仿真对于功放产品来说,晶体管的静态工作点、ATT电路、反相电路等都需要进行仿真设计实例:主窗口【View】【Example Directory】TutorialSimModels_prjDC1.dsn2021/7/2325交流(AC)仿真控制器交流仿真控制器用来分析模拟、射频电路的交流工作特性可仿真小信号情况下的电压增益、电流增益和跨导等传输参数实例:主窗口【View】【Example Direc

10、tory】TutorialSimModels_prjAC1.dsn2021/7/2326S参数(SP)仿真控制器S参数仿真是射频电路最重要的仿真可仿真小信号情况下的功放的增益、噪声以及稳定性等参数实例:主窗口【View】【Example Directory】TutorialSimModels_prjSP1.dsn2021/7/2327谐波平衡(HB)仿真控制器主要用来分析非线性电路可设置谐波平衡仿真的基波频率、最高次谐波、扫描参数等对于功放来说,它可以仿真频谱、三阶交调、失真、负载牵引分析等实例:主窗口【View】【Example Directory】TutorialSimModels_prj

11、HB1.dsn2021/7/2328增益压缩(XDB)仿真控制器用于寻找用户自定义的增益压缩点将理想的线性功率曲线与实际计算的功率曲线的偏离点相比较,在功放设计时可以方便的找到1dB压缩点实例:主窗口【View】【Example Directory】TutorialSimModels_prjXDB1.dsn2021/7/2329大信号S参数(LSSP)仿真控制器该控制器基于HB仿真可执行大信号下的S参数分析实例:主窗口【View】【Example Directory】TutorialSimModels_prjLSSP1.dsn2021/7/2330包络(Envelope)仿真控制器可分析复杂的

12、经过数字调制的射频信号对于包络仿真的部分参数设置 Stop time:仿真执行的终止时间 Time step:仿真执行的时间间隔(这个设置决定了包络信号的带宽,一般时间需1/(2*BW)实例:主窗口【View】【Example Directory】RFICMixers_prjIMDRFSwpEnv.dsn2021/7/2331瞬态(Transient)仿真控制器基于SPICE仿真,直接在时域给出电压、电流和相关参量的变化特性,但随着频率的升高、需要处理的数据点也随着增多,所以一般不处理高频电路与传统的SPICE仿真相比,ADS瞬态分析可直接使用频域分析模型,如传输线、分布参数滤波器等可用于VC

13、O起振、PLL锁定过程以及ALC电路分析实例:主窗口【View】【Example Directory】TutorialSimModels_prjTRAN1.dsn2021/7/2332ADS中调谐与优化 调谐与优化功能是ADS中的一种辅助仿真工具,可以帮助我们更快的获得所需的结果。调谐需要我们手动进行操作可以模拟我们在PCB上移动元器件、改变微带线长宽等操作Value:初始值Max:调谐最大值Min:调谐最小值Step:调谐间隔在设置前需要确保原理图上已放置了一个仿真控制器。2021/7/2333ADS中调谐与优化 调谐的方法简便,但如果需要调谐的参数过多,就很难迅速、准确的达到目标。优化是A

14、DS软件自动完成的只需要两步操作:1.设置元件参数的优化取值范围2.添加优化控件和目标控件2021/7/23341.射频无源器件的设计-微带威尔金森功分器首先在ADS主窗口中创建一个新的工程文件并命名。ADS中可以很方便的对各种射频无源器件进行设计,这里以微带威尔金斯功分器的设计进行介绍。2021/7/23351.射频无源器件的设计-微带威尔金森功分器在元器件选择面板选择Passive Circuit DG Microstrip Circuits。2021/7/23361.射频无源器件的设计-微带威尔金森功分器在这个选项下有多种微带电路可以选择如电桥、混合环、功分器、耦合器、滤波器等。我们在这

15、里选择功分器控件,并设置相应的参数。在这里假设设计一个900MHz的功分器,同时兼容800MHz。2021/7/2337在原理图设计界面选择DesignGuide下的Passive Circuit。在弹出的窗口中选择Passive Circuit Control Window。2021/7/23381.射频无源器件的设计-微带威尔金森功分器在Design Assistant标签下直接点击Design。这样就自动生成了功分器的原理图。2021/7/23391.射频无源器件的设计-微带威尔金森功分器对该原理图进行仿真,可直观地看到仿真结果。2021/7/2340在原理图设计窗口选择生成版图文件。1

16、.射频无源器件的设计-微带威尔金森功分器2021/7/23411.射频无源器件的设计-微带威尔金森功分器ADS在版图设计和DXP的PCB设计很类似,也需要定义各个层,为了仿真需要,这里还需要定义板材参数。这里我们直接将原理图中的信息导入。2021/7/23421.射频无源器件的设计-微带威尔金森功分器在ADS2008之后的版本中加入了基于FEM的EMDS,可以对3D视图进行查看,但操作没有HFSS那么人性化。通过设置S参数控件就可以进行S参数仿真。2021/7/23431.射频无源器件的设计-微带威尔金森功分器我们将版图仿真结果和原理图仿真结果进行对比,发现两个仿真在3GHz以后频点就有所偏移

17、,因此ADS的原理图仿真在3GHz后就可能不太准。不过3GHz之前的仿真还是可以接受。通过以上介绍的方法,我们可以直接通过原理图仿真快速地进行各种射频无源器件的设计。2021/7/23442.射频电路设计-ATT在2012年的PKT12042项目中功放产品部进行了ADS模型库仿真的探寻,其中包括栅压温补、电流检测、检波电路、ATT、ALC、调幅、调相、EQ等电路的ADS模型创建并仿真。下面以ATT电路模型的创建分析为例进行介绍。ATT电路的核心器件为PIN二极管,这里以HSMP-3814为例。这是安华高公司的产品,我们到公司官网上可以找到该器件模型的相关参数。2021/7/23452.射频电路

18、设计-ATT创建管芯( Die )模型。2021/7/23462.射频电路设计-ATT加入封装参数。2021/7/23472.射频电路设计-ATT当模型电路创建好以后,ATT电路的搭建也十分容易,就像我们在DXP中画原理图一样。只是如果我们的电气连接用到了微带线,需要对微带线的参数进行设置。2021/7/2348总结 仿真软件是我们射频电路设计的一个工具,就像开车一样,要到一个目的地,我们可以选择开车也可以走路,开车方便快捷但是费油,走路自由不用找停车场。总之,在使用仿真工具前我们要思考好两个问题1.这个工具是不是最简便2.这个工具是不是最有效2021/7/2349The End Thanks2021/7/2350个人观点供参考,欢迎讨论

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号