电子技术数字电路部分教学课件PPT组合逻辑电路

上传人:m**** 文档编号:567641886 上传时间:2024-07-21 格式:PPT 页数:63 大小:1.77MB
返回 下载 相关 举报
电子技术数字电路部分教学课件PPT组合逻辑电路_第1页
第1页 / 共63页
电子技术数字电路部分教学课件PPT组合逻辑电路_第2页
第2页 / 共63页
电子技术数字电路部分教学课件PPT组合逻辑电路_第3页
第3页 / 共63页
电子技术数字电路部分教学课件PPT组合逻辑电路_第4页
第4页 / 共63页
电子技术数字电路部分教学课件PPT组合逻辑电路_第5页
第5页 / 共63页
点击查看更多>>
资源描述

《电子技术数字电路部分教学课件PPT组合逻辑电路》由会员分享,可在线阅读,更多相关《电子技术数字电路部分教学课件PPT组合逻辑电路(63页珍藏版)》请在金锄头文库上搜索。

1、电子技术电子技术数字电路部分数字电路部分第三章第三章 组和逻辑电路组和逻辑电路第三章第三章 组和逻辑电路组和逻辑电路 3.1 概述概述 3.2 组合逻辑电路的分析和设计组合逻辑电路的分析和设计 3.3若干常用组合逻辑电路若干常用组合逻辑电路 3.4 用用MSI实现组合逻辑函数实现组合逻辑函数 3.5 组合逻辑电路中的竞争组合逻辑电路中的竞争-冒险现象冒险现象23.13.1 概概 述述一、组合电路的特点一、组合电路的特点= F0(I0、I1, In - - 1)= F1(I0、I1, In - - 1)= F1(I0、I1, In - - 1)1. 1. 逻辑功能特点逻辑功能特点 电路在任何时刻

2、的输出状态:电路在任何时刻的输出状态: * *只取决于该时刻的输入状态只取决于该时刻的输入状态 * *而与原来的状态无关。而与原来的状态无关。2. 2. 电路结构特点电路结构特点(1) (1) 输出、输入之间输出、输入之间没有反馈延迟没有反馈延迟电路电路(2) (2) 不包含记忆性元件不包含记忆性元件( (触发器触发器) ),仅由,仅由门电路门电路构成构成I0I1In-1Y0Y1Ym-1组合逻辑组合逻辑电路电路二、组合电路逻辑功能表示方法二、组合电路逻辑功能表示方法真值表,卡诺图,逻辑表达式,时间图真值表,卡诺图,逻辑表达式,时间图( (波形图波形图) )三、组合电路分类三、组合电路分类1.

3、1. 按逻辑功能不同按逻辑功能不同:加法器加法器 比较器比较器 编码器编码器 译码器译码器 数据选择器和分配器数据选择器和分配器 只读存储器只读存储器2. 2. 按开关元件不同:按开关元件不同:CMOS TTL3. 3. 按集成度不同:按集成度不同:SSI MSI LSI VLSI3. 2 3. 2 组合电路的分析方法和设计方法组合电路的分析方法和设计方法3. 2. 1 3. 2. 1 组合电路的基本分析方法组合电路的基本分析方法一、一、分析步骤分析步骤逻辑图逻辑图逻辑表达逻辑表达式式化简化简真值表真值表说明功能说明功能分析目的:分析目的:(1) (1) 确定输入变量不同取值时功能是否满足要求

4、;确定输入变量不同取值时功能是否满足要求;(3) (3) 得到输出函数的标准与或表达式,以便用得到输出函数的标准与或表达式,以便用 MSIMSI、 LSI LSI 实现;实现;(4) (4) 得到其功能的逻辑描述,以便用于包括该电路得到其功能的逻辑描述,以便用于包括该电路 的系统分析。的系统分析。(2) (2) 变换电路的结构形式变换电路的结构形式( (如:如:与或与或 与非与非- -与与非非);逻辑图逻辑图逻辑表逻辑表达式达式 1 1 最简与或最简与或表达式表达式化化化化简简简简 2 2 从从从从输输输输入入入入到到到到输输输输出出出出逐逐逐逐级级级级写写写写出出出出最简与或最简与或表达式表

5、达式 3 真值表真值表 3 4 电路的逻电路的逻辑功能辑功能当输入当输入A A、B B、C C中有中有2 2个或个或3 3个为个为1 1时,输时,输出出F F为为1 1,否则,否则输出输出F F为为0 0。所。所以这个电路实以这个电路实际上是一种际上是一种3 3人表决用的组人表决用的组合电路:只要合电路:只要有有2 2票或票或3 3票同票同意,表决就通意,表决就通过。过。 4 逻辑图逻辑图逻辑表逻辑表达式达式例:例:最简与或最简与或表达式表达式真值表真值表用与非门实现用与非门实现电路的输出电路的输出F F只与输入只与输入A A、B B有有关,而与输入关,而与输入C C无关。无关。F F和和A

6、A、B B的的逻辑关系为:逻辑关系为:A A、B B中只要一个为中只要一个为0 0,F F=1=1;A A、B B全为全为1 1时,时,F F=0=0。所以所以F F和和A A、B B的逻辑关系为与非运算的的逻辑关系为与非运算的关系。关系。电路的逻辑功能电路的逻辑功能3.2.2 3.2.2 组合电路的基本设计方法组合电路的基本设计方法一、一、 设计步骤设计步骤逻辑抽象逻辑抽象列真值表列真值表写表达式写表达式化简或变换化简或变换画逻辑图画逻辑图逻辑抽象:逻辑抽象:1. 1. 根据根据因果关系因果关系确定输入、输出变量确定输入、输出变量2. 2. 状态赋值状态赋值 用用 0 0 和和 1 1 表示

7、信号的不同状态表示信号的不同状态3. 3. 根据功能要求列出根据功能要求列出真值表真值表 根据所用元器件根据所用元器件( (分立元件分立元件 或或 集成芯片集成芯片) )的情况将的情况将函数式进行化简或变换。函数式进行化简或变换。化简或变换:化简或变换:真值表真值表电路功电路功能描述能描述例例例例:设计一个楼上、楼下开关的控制逻辑电设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开关关关灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后

8、,用楼下开关关灭电灯。打开电灯,下楼后,用楼下开关关灭电灯。设楼上开关为设楼上开关为A A,楼下开关为楼下开关为B B,灯泡为灯泡为F F。并设开并设开关关A A、B B掷向掷向上方时为上方时为1 1,掷向,掷向下方时为下方时为0 0;灯亮时灯亮时F F为为1 1,灯灭时,灯灭时F F为为0 0。根据逻辑要求列出真值表。根据逻辑要求列出真值表。 1 穷举法 1 实际电路图: 2 逻辑表达式逻辑表达式或卡诺图或卡诺图最简与或最简与或表达式表达式化简 3 2 已为最简与或表达式 4 逻辑变换逻辑变换 5 逻辑电路图逻辑电路图用与非门实现用同或门实现3. 3 3. 3 若干常用组合逻辑电路若干常用组

9、合逻辑电路3. 3. 1 3. 3. 1 编码器编码器(EncoderEncoder)编码:编码:用文字、符号或者数字表示特定对象的过程用文字、符号或者数字表示特定对象的过程(用二进制代码表示不同事物)(用二进制代码表示不同事物)二进制编码器二进制编码器二二十进制编码器十进制编码器分类:分类:普通编码器普通编码器优先编码器优先编码器2nn104或或Y1I1编编 码码 器器Y2YmI2In代代码码输输出出信信息息输输入入编编 码码 器器 框框 图图1、 编码器编码器所谓所谓编码编码就是赋予选定的一系列二进制代就是赋予选定的一系列二进制代码以固定的含义。码以固定的含义。n个二进制代码(个二进制代码

10、(n位二进制数)有位二进制数)有2n种不种不同的组合,可以表示同的组合,可以表示2n个信号。个信号。(1)二进制编码器)二进制编码器将一系列将一系列信号状态信号状态编制成编制成二进制代码二进制代码。例:用与非门组成例:用与非门组成三位二进制编码器三位二进制编码器- 八线八线 - 三线编码器三线编码器设八个输入端为设八个输入端为I1 I8,八种状态,与之对八种状态,与之对应的输出设为应的输出设为F1、F2、F3,共三位二进制数。共三位二进制数。设计编码器的过程与设计一般的组合逻辑设计编码器的过程与设计一般的组合逻辑电路相同:电路相同:*首先要列出首先要列出状态表状态表,*然后写出逻辑表达式并进行

11、然后写出逻辑表达式并进行化简化简,*最后画出最后画出逻辑图逻辑图。真值表真值表I1I2I3I4I5I6I7I8&F3F2F18-3编码器逻辑图编码器逻辑图在优先编码器中优先级别高的信号排斥级别低的,即具有单方面排斥的特性。设I7的优先级别最高,I6次之,依此类推,I0最低。真真值值表表2 2、 3 3 位二进制优先编码器位二进制优先编码器逻辑表达式逻辑表达式逻辑图逻辑图8线线-3线线优优先先编编码码器器如果要求输出、输入均为反变量,则只要在图中的如果要求输出、输入均为反变量,则只要在图中的每一个输出端和输入端都加上反相器就可以了。每一个输出端和输入端都加上反相器就可以了。译码是编码的逆过程,即

12、将某个二进制译码是编码的逆过程,即将某个二进制翻译成电路的某种状态。翻译成电路的某种状态。(1)二进制译码器)二进制译码器将将n种输入的组合译成种输入的组合译成2n种电路状态。种电路状态。也叫也叫n-2n线译码器。线译码器。译码器的译码器的输入输入: 一组二进制代码一组二进制代码译码器的译码器的输出输出: 一组高低电平信号一组高低电平信号3.3.2 3.3.2 译码器译码器(Decoder)一、二进制译码器一、二进制译码器 (Binary Decoder) 输入输入 n n 位位二进制代码二进制代码如:如:2 2线线44线译码器线译码器3 3线线88线译码器线译码器4 4线线1616线译码器线

13、译码器A0Y0A1An-1Y1Ym-1二进制二进制译码器译码器输出输出 m m 个个信号信号 m m = 2= 2n n1 1、3 3位二进制译码器位二进制译码器 ( 3 ( 3 线线 8 8 线线) )真值表真值表函数式函数式A0Y0A1A2Y1Y73 3 位位二进制二进制译码器译码器0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 00 0 10 1 00 1 11 0 01 0

14、 11 1 01 1 13 3线线-8-8线译码器逻辑图线译码器逻辑图000 输出低电平有效输出低电平有效工作原理:工作原理:11111101&Y7&Y6&Y5&Y4&Y3&Y2&Y1&Y0A2A2A1A1A0A0111111A2A1A000111110111010101111110111110111110011111011101111111101101101111111101111111例:利用线译码器分时将采样数据送入计算机例:利用线译码器分时将采样数据送入计算机。2-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三态门三态门总总线线000全为全为1工作原理工作原理:(:(

15、以以A0A1=00为例)为例)数数据据2-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三态门三态门总总线线脱离总线脱离总线显示器件显示器件: 常用的是常用的是七段显示器件七段显示器件abcdfga b c d e f g1 1 1 1 1 1 00 1 1 0 0 0 01 1 0 1 1 0 1e三、显示译码器三、显示译码器半导体显示半导体显示(LED)(LED)液晶显示液晶显示(LCD)(LCD)共共阳极阳极每字段是一只每字段是一只发光二极管发光二极管数码显示器数码显示器aebcfgdabcdefgR+ 5 VYaA3A2A1A0+VCC+VCC显示显示译码器译码器共阳共

16、阳YbYcYdYeYfYg00000000001000100101001111001001000110100010101100000110100110001001000100000 低电平低电平驱动驱动011100011111000000000010010000100共共阴极阴极abcdefgR+5 VYaA3A2A1A0+VCC显示显示译码器译码器共阴共阴YbYcYdYeYfYg 高电平高电平驱动驱动0000111111000010010011000011011010011010001010110011110001001111100101100111011011101111111100001

17、1111111111011aebcfgd驱动共阴极数码管的电路驱动共阴极数码管的电路 输出输出高电平高电平有效有效YaYbYcYdYeYfYgA3A2A1A011111111111111111111111数数据据传传输输方方式式0110发送发送0110并行传送并行传送0110串行传送串行传送并并- -串转换:串转换:数据选择器数据选择器串串- -并转换:并转换:数据分配器数据分配器3.3.33.3.3数据选择和数据分配器数据选择和数据分配器接收接收0110 在发送端和接收端不需要在发送端和接收端不需要数据数据 并并- -串串 或或 串串- -并并 转换装置,转换装置,但每位数据各占一条传输线,

18、当但每位数据各占一条传输线,当传送数据位数增多时,成本较高,传送数据位数增多时,成本较高,且很难实现。且很难实现。真值表真值表逻辑表达式逻辑表达式地地址址变变量量输输入入数数据据由地址码决由地址码决定从路输定从路输入中选择哪入中选择哪路输出。路输出。4选选1数据选择器数据选择器能够从能够从多路多路数据输入中数据输入中选择一路选择一路作为输出的电路作为输出的电路1 1、 数据选择器数据选择器 ( Data Selector )一、一、4 4 选选 1 1 数据选择器数据选择器输输入入数数据据输输出出数数据据选择控制信号选择控制信号A0Y4选选1数据选择器数据选择器D0D3D1D2A11. 1.

19、工作原理工作原理0 0 0 1 1 0 1 1 D0D1D2D3D0 0 0D0D A1 A0 2. 2. 真值表真值表D1 0 1D2 1 0D3 1 1Y D1D2D33. 3. 函数式函数式 一、一、4 4 选选 1 1 数据选择器数据选择器3. 3. 函数式函数式4. 4. 逻辑图逻辑图1&11YA11A0D0D1D2D30 0 0 1 1 0 1 1 = D0= D1= D2= D3 二、集成数据选择器二、集成数据选择器1.81.8选选1 1数据选择器数据选择器74151 74LS151 74251 74LS251引引脚脚排排列列图图功功能能示示意意图图VCC 地地132456781

20、6 15 14 13 12 11 10 974LS151D4 D5 D6 D7 A0 A1 A2 D3 D2 D1 D0 Y Y SMUXD7A2D0A0A1SYY禁止禁止使能使能1 0 0 0 0D0 D0 D1 D1 D2 D2 D3 D3 D4 D4 D5 D5 D6 D6 D7 D7 0 0 10 1 00 1 11 0 01 0 11 1 01 1 11 0 A2 A0 地址端地址端D7 D0 数据输入端数据输入端2. 2. 集成数据选择器的扩展集成数据选择器的扩展两片两片 8 8 选选 1 1(7415174151)16 16 选选 1 1数据选择器数据选择器A2 A1 A0 A3

21、 D15 D81Y1S74151 (2)D7A2D0ENA0A1YY2D7 D074151 (1)D7A2D0ENA0A1SYY1低位低位高位高位0 禁止禁止使能使能0 70 D0 D7 D0 D7 1 使能使能禁止禁止D8 D15 0 D8 D15 0 四片四片8 8选选1 1(7415174151)32 32 选选 1 1 数据选择器数据选择器1/2 74LS139SA4A3A2A1A0&Y方法方法 1: 74LS139 74LS139 双双2 2线线-4-4线译码器线译码器74151 (4)D7A2D0ENA0A1S4Y374151 (1)D7A2D0ENA0A1D0S1Y074151

22、(2)D7A2D0ENA0A1S2Y174151 (3)D7A2D0ENA0A1S3Y2D7D8D15D16D23D24D311 1 1 1 1 0 7禁止禁止 禁止禁止 禁止禁止 禁止禁止 0 0 01 1 1 0 禁止禁止 禁止禁止 禁止禁止 使能使能 0 1禁止禁止 禁止禁止 使能使能 禁止禁止 禁止禁止 使能使能 禁止禁止 禁止禁止 使能使能 禁止禁止 禁止禁止 禁止禁止 1 01 1D0 D7 D8 D15 D16 D23 D24 D311 1 0 1 1 0 1 1 0 1 1 1 方法方法 2:74LS15374LS153双双4 4选选1 1数据选择器数据选择器(1) (2) (

23、3) (4)输出信号输出信号0 0工工 禁禁 禁禁 禁禁0 1禁禁 工工 禁禁 禁禁1 0禁禁 禁禁 工工 禁禁1 1禁禁 禁禁 禁禁 工工方法方法 1 1:四片四片 8 8 选选 1 1(7415174151)32 32 选选 1 1 数据选择器数据选择器四路四路 8 8 位位并行数据并行数据四片四片8 8选选1四路四路 1 1 位位串行数据串行数据一片一片4 4选选1一路一路 1 1 位位串行数据串行数据(电路略)电路略)真值表真值表(使用(使用74LS13974LS139双双2 2线线-4-4线译码器)线译码器)由地址码由地址码决定将输决定将输入数据入数据送给哪送给哪路输出路输出。真值表

24、真值表逻辑表达式逻辑表达式地地址址变变量量输输入入数数据据2 2、数据分配器、数据分配器 ( Data Demultiplexer )将将 1 1 路路输入数据,根据需要分别传送到输入数据,根据需要分别传送到 m m 个个输出端输出端一、一、1 1 路路-4 -4 路数据分配器路数据分配器数据数据输入输入数据输出数据输出选择控制选择控制0 00 11 01 1D 0 0 00 D 0 00 0 D 00 0 0 D&Y0&Y1&Y2&Y31A11A1DDA01 路路-4 路路数据分配器数据分配器Y0Y3Y1Y2A1真真值值表表函函数数式式逻辑逻辑图图1 1 0 11 0 0 1+举例:举例:A

25、=1101, B=1001, 计算计算A+B0110100113.3.4 3.3.4 加法器和数值比较器加法器和数值比较器加法运算的基本规则加法运算的基本规则:(1)逢二进一。)逢二进一。(2)最低位最低位是两个数最低位的相加,是两个数最低位的相加,不需不需考虑进位。考虑进位。(3)其余各位都是)其余各位都是三个数相加三个数相加,包括加数、,包括加数、被、加数和低位来的进位。被、加数和低位来的进位。(4)任何位相加都产生两个结果:)任何位相加都产生两个结果:本位本位和、和、向高位的向高位的进位进位。一、半加器和全加器一、半加器和全加器1. 1. 半加器(半加器(H Half alf A Add

26、erdder)两个两个 1 1 位二进制数相加不考虑低位进位。位二进制数相加不考虑低位进位。0 00 11 01 10 01 01 00 1真真值值表表函数式函数式A Ai i+ +B Bi i = = S Si i ( (和和) ) C Ci i ( (进位进位) )逻逻辑辑图图曾曾用用符符号号国国标标符符号号半加器(半加器(H Half alf A Adderdder)Si&AiBi=1CiCOSiAiBiCiHASiAiBiCi函函数数式式2. 2. 全加器(全加器(F Full ull A Adderdder)两个两个 1 1 位二进制数相加,考虑低位进位。位二进制数相加,考虑低位进位

27、。 A Ai i + +B Bi i + +C Ci i -1 -1 ( ( 低位进位低位进位 ) ) = = S Si i( ( 和和 ) )C Ci i ( ( 向高位进位向高位进位 ) )1 0 1 1 - A 1 1 1 0- B+- 低位进位低位进位100101111真真值值表表标准标准与或式与或式A B Ci-10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1SiCiA B Ci-1SiCi0 01 01 00 11 00 10 11 1- S高位进位高位进位0卡诺图卡诺图全加器全加器(F Full ull A Adderdder)ABC0100 0

28、1 11 101111SiABC0100 01 11 101111Ci圈圈 “ “ 0 0 ”最简与或式最简与或式圈圈 “ “ 1 1 ”逻辑图逻辑图(a) (a) 用用与门与门、或门或门和和非门非门实现实现曾用符号曾用符号国标符号国标符号COCISiAiBiCi-1CiFASiAiBiCi-1Ci&1111AiSiCiBiCi-11(b) (b) 用用与或非门与或非门和和非门非门实现实现&1&1111CiSiAiBiCi-13. 3. 集成全加器集成全加器TTLTTL:74LS18374LS183CMOSCMOS:C661C661双全加器双全加器1 2 3 4 5 6 714 13 12 1

29、1 10 9 8C661C661VDD 2Ai2Bi 2Ci-1 1Ci 1Si 2Si 1Ci-1 2Ci 1Ai1Bi VSS 74LS18374LS183VCC 2Ai2Bi 2Ci-1 2Ci 2Si VCC 2A 2B 2CIn 2COn+1 2F1A1B 1CIn1FGND1Ai1Bi1Ci-11Si地地1Ci1COn+1 二、加法器二、加法器(AdderAdder)实现多位二进制数相加的电路实现多位二进制数相加的电路1. 4 1. 4 位串行进位加法器位串行进位加法器特点:特点:电路简单,连接方便电路简单,连接方便速度低速度低 = = 4 tpdtpd 1 1位全加器的平均位全加

30、器的平均 传输延迟时间传输延迟时间C0S0B0A0C0-1COS SCIC1S1B1A1COS SCIC2S2B2A2COS SCIC3S3B3A3COS SCI比较器的分类:比较器的分类:(1)仅比较两个数是否相等。)仅比较两个数是否相等。(2)除比较两个数是否相等外,还要比)除比较两个数是否相等外,还要比较两个数的大小。较两个数的大小。第一类的逻辑功能较简单,下面重第一类的逻辑功能较简单,下面重点介绍点介绍第二类第二类比较器。比较器。3.3.5 3.3.5 数值比较器数值比较器(Digital ComparatorDigital Comparator)(1)一位数值比较器)一位数值比较器功

31、能表功能表ABABABA=B逻辑图逻辑图逻辑符号逻辑符号A=B&=1ABAB (2)多位数值比较器)多位数值比较器比较原则:比较原则:A. 先从高位比起先从高位比起,高位大的数值一定大。高位大的数值一定大。B. 若若高位相等高位相等,则再比较低位数则再比较低位数,最终结最终结果由低位的比较结果决定。果由低位的比较结果决定。请根据这个原则设计一请根据这个原则设计一下,下,每位的比较每位的比较应包括几应包括几个输入、输出?个输入、输出?二、二、4 4 位数值比较器位数值比较器 A = A3A2A1A0A BL = 1A = BM = 1A 100= 100= 100=100=010 001= 00

32、1= 001=001B = B3B2B1B0LGM4 4位数值比较器位数值比较器A3 B3 A2 B2 A1 B1 A0 B03.4 3.4 用用 MSI MSI 实现组合逻辑函数实现组合逻辑函数3.4.13.4.1用数据选择器实现组合逻辑函数用数据选择器实现组合逻辑函数一、基本原理和步骤一、基本原理和步骤1.1.原理原理:选择器输出为标准与或式,含地址变量的选择器输出为标准与或式,含地址变量的全部最小项。例如全部最小项。例如 而任何组合逻辑函数都可以表示成为最小项之和而任何组合逻辑函数都可以表示成为最小项之和的形式,故可用数据选择器实现。的形式,故可用数据选择器实现。4 4 选选 1 18

33、8 选选 1 12. 2. 步骤步骤(1) (1) 根据根据 n n = = k k - 1 - 1 确定数据选择器的规模和型号确定数据选择器的规模和型号( (n n 选择器选择器地址码地址码,k k 函数的函数的变量个数变量个数) )(2) (2) 写出函数的写出函数的标准与或式标准与或式和选择器和选择器输出信号表达式输出信号表达式(3) (3) 对照比较确定选择器各个输入变量的表达式对照比较确定选择器各个输入变量的表达式 (4) (4) 根据采用的根据采用的数据选择器数据选择器和和求出的表达式求出的表达式画出画出连线图连线图二、应用举例二、应用举例 例例 3.4.13.4.1用数据选择器实

34、现函数用数据选择器实现函数 解解 (2) (2) 标准与或式标准与或式(1) n = k - -1 = 3 - -1 = 2 可用可用 4 4 选选 1 1 数据选择器数据选择器 74LS153数据选择器数据选择器(3) (3) 确定输入变量和地址码的对应关系确定输入变量和地址码的对应关系令令 A1 = A, A0 = B则则 D0 = 0 D1 =D2 = C D3 = 1方法一:公式法方法一:公式法FA BY1/2 74LS153D3D2D1D0A1A0ST1C(4) (4) 画连线图画连线图(4) (4) 画连线图画连线图( (与方法一相同与方法一相同) )方法二:图形法方法二:图形法按

35、按 A A、B B 顺序写出函数的标准与或式顺序写出函数的标准与或式含变量含变量 C C 的的 F F 的卡诺图的卡诺图含变量含变量 D Di i 的的 Y Y 的卡诺图的卡诺图AB0101A1A001010CC1D0D1D2D3令令 A1 = A, A0 = B则则 D0 = 0 D1 =D2 = C D3 = 13. 4. 2 3. 4. 2 用二进制译码器实现组合逻辑函数用二进制译码器实现组合逻辑函数一、基本原理与步骤一、基本原理与步骤1. 1. 基本原理基本原理:二进制译码器又叫变量译码器或最小项二进制译码器又叫变量译码器或最小项译码器译码器, ,它的它的输出端提供了其输入变量的输出端

36、提供了其输入变量的全部最小项全部最小项。任何一个函数都可以任何一个函数都可以写成最小项之和的形式写成最小项之和的形式74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 2. 2. 基本步骤基本步骤(1) (1) 选择集成二进制译码器选择集成二进制译码器(2) (2) 写函数的标准与非写函数的标准与非- -与非式与非式(3) (3) 确认变量和输入关系确认变量和输入关系 例例3.4.53.4.5用集成译码器实现函数用集成译码器实现函数(1)(1)三个输入变量三个输入变量选选3 3线线88线译码器线译码器 74LS13874LS138(2) (2) 函数的标准与非函数的标准与非- -与非式与非式(4) (4) 画连线图画连线图 解解 (4) (4) 画连线图画连线图(3) (3) 确认变量和输入关系确认变量和输入关系令令则则74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA &Z3ABC1在在输出端需增加一个与非门输出端需增加一个与非门

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号