2022年第五章同步时序电路答案

上传人:鲁** 文档编号:567291620 上传时间:2024-07-19 格式:PDF 页数:16 大小:1.23MB
返回 下载 相关 举报
2022年第五章同步时序电路答案_第1页
第1页 / 共16页
2022年第五章同步时序电路答案_第2页
第2页 / 共16页
2022年第五章同步时序电路答案_第3页
第3页 / 共16页
2022年第五章同步时序电路答案_第4页
第4页 / 共16页
2022年第五章同步时序电路答案_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《2022年第五章同步时序电路答案》由会员分享,可在线阅读,更多相关《2022年第五章同步时序电路答案(16页珍藏版)》请在金锄头文库上搜索。

1、学习必备欢迎下载第五章同步时序电路5.1、分析图583 所示时序电路,作出它的状态表和状态图。作出电平输入X 序列为 1011100 时电路的时序图。解:nnQXDQ1nXQZ5.2、分析图584 所示时序电路,作出它的状态表和状态图并作当X1=1111110及 X2=0110110 时的时序图(设触发器初态为“00” ) 。解:nXQJ01XK1XJ0nXQK10nnnnnnXQXQXQQXQQ1011011nnnnnnXQQXQXQQXQ1001010nnQXQZ10Q1nQ0nX Q1n+1Q0n+1Z 0 0 0 0 0 1 0 0 1 0 1 1 0 1 0 0 0 1 0 1 1

2、1 0 1 1 0 0 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 0 K J CP Q Q K J CP Q Q CP X Z Y1Y0图 584 1 01/1 1/0 0/1 0/1 D CP Q Q CP X Z Y 图 583 X 0 1 0 1 0/1 1/1 1/1 0/0 nQCP X 1nQ1nQZ 初态为“ 1”nnQQ01X/Z 01 10 00 11 0/1 1/1 0/1 1/1 0/1 1/1 0/1 1/0 CP X 11nQ10nQZ CP X 11nQ10nQZ “1”精选学习资料 - - - - - - - - - 名师归纳

3、总结 - - - - - - -第 1 页,共 16 页学习必备欢迎下载5.3、分析图585 所示时序电路,作出它的状态图和时序图。起始状态Y2Y1Y0=000。解:nnnQQDQ02010nnnnnnnQQQQQQDQ010101111nnnnQQQDQ012212逻辑功能:可自启动的同步五进制加法计数器。5.4、画出图586 所示时序电路的状态图和时序图,起始状态为Y3Y2Y1Y0=0001。逻辑功能:移位寄存器型四进制计数器。5.5、画出图587 图所示同步十进制减法计数器的状态图和时序图。状态图:nnnnQQQQ1234D CP Q Q D CP Q Q D CP Q Q + CP 0

4、 1 2 图 585 Q2nQ1nQ0nQ2n+1Q1n+1Q0n+10 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 0 0 010 000 001 011 100 111 101 110 nnnQQQ012Q Q J K 3 Q Q J K 2 Q Q J K 1 Q Q J K 0 CP 图 586 Y0Y1Y2Y3Y3Y2Y1Y00001 1000 0100 0010 nnnQQQJ1234nQK14nnQQJ143nnQQK123nnnQQQJ1342

5、nQK12111KJnnnnnnnQQQQQQQ14123414nnnnnnnQQQQQQQ31213413)(nnnnnnnQQQQQQQ12123412)(1001 1000 0111 0110 0101 0100 0011 0010 0001 0000 /0 /0 /0 /0 /0 /0 /0 /0 /0 /1 /0 1010 1011 1110 1111 1100 1101 /0 /0 /0 /0 14nQCP 13nQ12nQ11nQZ nnQQ111nnnnQQQQZ1234时序图:精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 2 页

6、,共 16 页学习必备欢迎下载5.6、 分析于 588 所示集成电路的原理,列其功能表, 定性画出表示CKRPEDDDDES与,30的配合关系的波形图,并分析这些参数与内部电路开关参数的关系。)(000EPEPEQPEEDPEDDEEEsE)(1011EPEPEQPEEQPEDDEEEE)(2122EPEPEQPEEQPEDDEEEE)(3233EPEPEQPEEQPEDDEEEEEPE: 当EPE,为 00 时, 并入EEEPEPEEPEE)(, 1,0EPE时移位EPPEEPEPEEPEPEEEEEEE)()(EPEEPEEPPEEEEE)(E为 1 时, 保持。DREPESD30 DDC

7、K30 QQ功能0 x x x xx x 00 清”0”1 0 0 x 30 DD30 DD并入1 1 0 SDxx 20 QQDs移位1 x 1 x xx 30 QQ保持suFFpdsuttt; pdHFFHtttpdsuFFORpdsutttt2; ORpdpdHFFHtttt2。EPE ,: 由1100, EP可以不变 , EP变化不影响 , 即由并入转到保持, EPE,应为X1, 二级或非门的传输时间即可, 最大sut为二级或非门加一级与非门+FF的sut即可 ! 0D1D2D3DtttttEEPD0D3、DS0 0 0 1 1 CK 精选学习资料 - - - - - - - - -

8、名师归纳总结 - - - - - - -第 3 页,共 16 页学习必备欢迎下载12nQ5.7、画出在图588 电路中加上如图589 所示输入波形时输出波形。5.10、图 5 92 所示电路是为某接收机而设计的分频电路,初始状态为“00” ,问:(1)当 X1X2=“00” ; (2)当 X1X2=“01” ; (3)当 X1X2=“11”时,各种状态为几分频?画出波形图。解: (1)当 X1X2=“00” ;初始状态为“00”时:112nQ121nQJ1111XJKnnQQ111逻辑功能:电路实现 2 分频。(2)当 X1X2=“01” ;初始状态为“00”时:nQJ211111XJKnnn

9、QQQ1211nQJ121112XQKnnnnQQQ1212逻辑功能:电路实现3 分频。(3)当 X1X2=“11” ;初始状态为“00”时:nQJ21nQXJK2111nnnnnnQQQQQQ2121211nQJ12nnQXQK1112nnnnnnQQQQQQ1212112逻辑功能:电路实现4 分频。11nQ12nQ11nQ11nQ12nQ“1”图 592 图 589 清零Q0Q1Q2Q3保持 并入移位保持精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 4 页,共 16 页学习必备欢迎下载DnCnBnAnQQQQ0001 1001 0101 11

10、01 0011 1011 0111 1111 DnCnBnAnQQQQ0000 1000 0100 1100 0011 1011 0111 1111 0010 1010 0110 1110 0011 0001 1001 0101 1101 5.11、同步 4 位二进制计数器的功能表及逻辑符号如图5 93(a)所示。如果同步二进制计数器按图 593(b)所示电路连接,要求: ( 1)列出该计数器的计数顺序。(2)QD端输出是几分频、占空比是多少?( 1)(2)QD端输出是12 分频,占空比是50%。5.12、将图 593(a)所示 4 位同步二进制计数器接成图594 所示电路。问: (1)M=“

11、1”时,A 端输出为几分频; (2)M= “0”时, A 端输出为几分频; (3)占空比是多少?解: (1)M= “1”时:A 端是 8 分频;占空比为25%。(2)M=“0”时:A 端是 16 分频;占空比为12.5%。DnCnBnAnQQQQ0000 0100 1100 0010 0110 1110 0001 0101 1101 0011 0111 1111 1CnQA CP 1BnQ1DnQ“1”CP A 1BnQ1DnQ1CnQ精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 5 页,共 16 页学习必备欢迎下载图 595 5.13、由图 5

12、93(a)所示 4 位同步二进制计数器接成图595 所示电路,画出输出端QD的波形,说明 QD为几分频。解:QD为 10 分频。5.14、图 5 96(a)所示逻辑符号为4 位并行通道移位寄存器及功能表。分析图596( b)所构成的逻辑图: (1)写出状态图; (2)画出 CK 与 QD对应的波形图。(1)DQJDCBQQQK)(5.16、分析由图596(a)所示移位寄存器组成的图5 98 所示电路,分析电路的逻辑功能,画出 QD的波形,分析电路能否自启动。解: K 闭合时清零,0000DCBAQQQQK 打开时:DCAQQQLS/DQKJ电路可以自启动。DnCnBnAnQQQQ0000 10

13、00 0100 1010 0101 0010 1001 1100 0110 1011 1101 1110 1111 0001 0011 0111 DnCnBnAnQQQQCK 1DnQ0000 0010 1001 0100 /0 /1 /0 /0 0001 0011 0111 1111 1110 1100 1000 0101 1011 0110 1101 1010 CK 1DnQ图 596 0000 0010 1010 1110 0001 0011 1011 0111 1111 0110 1110 精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 6

14、 页,共 16 页学习必备欢迎下载图 5100 DnCnBnAnQQQQ1100 1110 1000 0111 0011 0001 1111 DnCnBnAnQQQQ1100 1110 1000 0111 0011 0001 1111 0000 CK QAQBQC1Q 2Q 3Q 4Q 5Q 6Q 7Q 8Q 5.17、分析由图596(a)所示移位寄存器组成如图599(a) 、 (b) 、 (c)的逻辑电路,说明各输出QD是几分频?解: (a)(b)QD是 7 分频。QD是 8 分频。(c)QD是 16 分频。5.18、分析图 5100 所示同步时序电路的功能。画出各输出端的时序图。电路由1

15、片 4 位二进制计数器、 1 片( 38)变量译码器和1 片 8 位锁存器组成。电路实现逻辑功能:顺序脉冲发生器。10000000 11000000 11111111 01111111 00111111 00000001 精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 7 页,共 16 页学习必备欢迎下载5.19、已知时序电路的状态表如表513 所示,作出它的状态图。5.20、设有表514 所示的 3 种完全指定状态表;试求最小化状态表。A B C D X/Z 0/0 1/0 0/0 0/0 1/0 1/0 1/1 0/1 (a)表 514 ADA

16、 B B C C D D E ABAEAB关联比较后的隐含表X Y 0 1 A B C E A/0 B/0 C/1 A/0 B/1 E/0 A/0 A/0 简化状态表A、D A (b)1 2 3 4 5 6 7 7 6 5 4 3 2 8 47 15 15 15 38 38 46 48 48 67 关联比较后的隐含表1、5 1 4、7 4 X Y 0 1 1 2 4 6 7 8/0 4/1 3/0 1/0 1/1 8/0 1/1 3/0 4/0 6/1 简化状态表2、3 2 (c)A B B C C D D E ABAE关联比较后的隐含表X Y 00 01 A B D B/0 B/0 A/0

17、B/0 B/0 D/0 A、E A CDBCCDACBE11 10 简化状态表B/1 B/1 A/1 A/1 D/1 B/1 B、C B 精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 8 页,共 16 页学习必备欢迎下载5.21、按照规定的状态分配,分别写出采用D 触发器、 JK 触发器来实现状态表515 所示的时序逻辑电路。解:四种状态应使用2 个触发器。设:Q1=Y1,Q0=Y01) 用 D 触发器设计;XQQXQQXQQXQQXQQQDn0100101011110100QQDn01QQZ2)用 JK 触发器设计;XQQXQQXQQXQQQn

18、0101010111=1010)(QXQQXQXQKJ011010QQn122KJ01QQZ5.26、用负边沿JK 触发器及2 输入 4 输出变量译码器,设计一个4 相时钟分配器。解:用 JK 触发器设计一个4 进制计数器,Q1Q0为变量译码器的输入。nnnnnQQQQQ101011nQKJ011nnQQ010100KJ5.27、用 J K 触发器设计一个可控计数器,当控制端C=1 时,实现000100110111011 000;当 C=0 时,实现000100110010011 000 计数。要求写出: (1)状态图;(2)状态表;(3)状态方程;( 4)检查能否自启动,画出状态图;(5)画

19、出逻辑图。解:Y1 Y0 X00011110010 0 0 0 1 1 1 1 Y1 Y0 X000111 100111110000Q Q J K 0 Q Q J K 1 Z Q0Q1CP RD X Q0CP D0Q0Q1CP D1Q1CP X RD Z nQ1nQ011nQ10nQ0 0 0 1 0 1 1 0 1 1 1 0 1 1 0 0 Q Q J K 0 Q Q J K 1 RD CP “1”Q0Q1A1A0Y3Y2Y1Y0ECP 11nQ10nQY0Y1Y2Y3nnnQQQ012000 111 001 010 011 010 101 001 111 0/ X/ 0/ 0/ 1/ 1

20、/ 1/ X/ X/ X/ X/ 110 100 X/ 精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 9 页,共 16 页学习必备欢迎下载5.28、用 JK 触发器设计“ 1011”序列检测器。要求写出:(1)状态图;(2)状态表;( 3)三种独立的状态分配方案; (4)分别写出三种分配方案的状态方程;(5)画出最佳设计的逻辑图。解:设 S0:初始及检测成功状态;S1:输入一个“1”状态; S2:输入“ 10”状态;S3:输入“ 101”状态; X:输入; Z:输出。(1)状态图(2)状态表(3)状态分配方案XQQQnnn012101112nnn

21、QQQ001122KJKJKJ0 0 0 0 0 0 0 1 1 0 0 0 1 0 0 1 1 1 0 0 1 1 0 1 0 1 0 0 1 1 1 1 0 1 1 1 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 1 1 0 1 1 0 1 1 0 0 0 00 00 01 01 11 11 10 10 nQ2nQ1nQ0X nQJ12nQJ210 0 1 1 00 00 01 01 11 11 10 1

22、0 nQ2nQ1nQ0X 1 0 1 0 0 00 00 01 01 11 11 10 10 nQ2nQ1nQ0X XQQKnn1020 0 1 0 1 0 0 00 00 01 01 11 11 10 10 nQ2nQ1nQ0X XQQQJnnn11200 1 1 0 0 0 00 00 01 01 11 11 10 10 nQ2nQ1nQ0X nnQQK0211 1 0 00 00 01 01 11 11 10 10 nQ2nQ1nQ0X nQK20Q Q J K 0 Q Q J K 1 Q Q J K 2 + + CP RD X 状态X 0 1 S1S0S2S3S0/0 S2/0 S0/

23、0 S2/0 S1/0 S1/0 S3/0 S0/1 1 2 3 S000 00 01 S101 01 00 S211 10 10 S310 11 11 S0 S1 S2 S3 0/0 1/0 1/0 0/0 0/0 1/0 0/0 1/1 X/Z 精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 10 页,共 16 页学习必备欢迎下载Q Q J K 0 Q Q J K 1 + + CP X RD (4)状态方程方案一:方案二:方案三、从 JK 的卡诺图可以看出电路的简化结果相似,以方案三画逻辑电路X Z 0 0 0 0 0 0 0 0 0 0 1

24、0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 0 0 1 1 0 0 0 0 1 1 1 1 1 1 0 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 nQ1nQ011nQ10nQ0011KJKJ1 0 00 1 01 11 10 nQ1nQ0X 1 1 0 00 1 01 11 10 nQ1nQ0X XQQXQKJnnn010111 1 0 00 1 01 11 10 nQ1nQ0X 1 1 0 00 1 01 11 10 nQ1nQ0X XQQQQXQKJnnnnn0101100X Z 0 0 0 0 0 0 0 0 0 0 1

25、0 1 0 0 1 0 1 0 1 0 0 1 1 0 1 1 0 1 0 0 0 1 0 0 0 0 0 1 0 1 0 1 1 1 0 0 1 1 1 0 1 0 0 0 1 1 1 1 0 0 1 1 1 nQ1nQ011nQ10nQ0011KJKJ1 0 00 1 01 11 10 nQ1nQ0X 1 1 0 00 1 01 11 10 nQ1nQ0X 1 1 1 0 00 1 01 11 10 nQ1nQ0X XQXQXQKJnnn010001 1 0 00 1 01 11 10 nQ1nQ0X XQQXQQXQQKJnnnnnn01010111X Z 0 1 0 0 1 00 0

26、0 1 1 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 1 1 0 1 1 1 0 0 1 1 1 0 1 0 0 0 1 1 1 1 0 1 1 1 0 nQ1nQ011nQ10nQ0011KJKJXQQQQXQKJnnnnn01011001 0 00 1 01 11 10 nQ1nQ0X 1 1 0 00 1 01 11 10 nQ1nQ0X XQQXQKJnnn010111 1 0 00 1 01 11 10 nQ1nQ0X 1 1 0 00 1 01 11 10 nQ1nQ0X 精选学习资料 - - - - - - -

27、 - - 名师归纳总结 - - - - - - -第 11 页,共 16 页学习必备欢迎下载5.30、用正边沿D 触发器及其他门电路,设计一个节拍发生器,节拍顺序如图5101 所示,要求写出设计过程。解:从时序图可得出状态图为:方法一:若将i看作触发器的输出,三个触发器不可能有这样的状态,因此应有6 个状态,并且在传输“ 1” ,可用6 个触发器构成移位寄存器型计数器。其中533211,QQQ。此时,状态图为:逻辑图:方法二:用3 个触发器构成6 进制计数器,123为输出。同理:nnQQ123nnnQQQ0122nnQQ011123000 001 000 010 000 100 nnnnnnQ

28、QQQQQ543210100000 010000 001000 000100 000010 000001 /001 /010 /100 /000 /000 /000 123/Q CP D QQ CP D QQ CP D QQ CP D QQ CP D QQ CP D Q1 2 3 CP RD SD Q0Q1Q2Q3Q4Q5CP 1 2 3 图 5101 0 CP Q D 1 CP Q D 2 CP + 321RD CP Q Q Q Q 000 001 011 010 110 100 /000 /001 /000 /000 /100 nnnQQQ012123/0 0 0 0 0 1 0 0 0

29、0 0 1 01 1 0 0 1 0 1 1 0 1 0 0 0 0 0 1 0 1 1 0 0 1 0 1 1 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 nnnQQQ012101112nnnQQQ1231 1 0 00 1 01 11 10 nQ1nQ0nQ2nnnQQQD121001 1 1 0 00 1 01 11 10 nQ1nQ0nQ2nnnnQQQQD1201111 1 0 00 1 01 11 10 nQ1nQ0nQ2nnnQQQD01122精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 12 页,共 16 页学

30、习必备欢迎下载CP Y1Y2Z X 图 5102 X/Y1Y2Z 0/110 0/010 S0 S0 S0 S0 S0 S0 S0 S0 0/101 0/000 1/100 1/011 1/110 1/000 5.32、用正边沿D 型触发器设计一个满足图5102 所示波形要求的逻辑电路,CP、X 为输入,写出设计过程。解:方法一:从时序图中可以看出将Y1、Y2、Z 为输出时,每经过8 个时钟为一个循环。其状态图为:若以自然态序状态分配,状态表为:XQQXQXQQDnnnnn0110122或nnnnnnnnQQQQQQQQD0121202122同理,从卡诺图可以求出:01111QQQDnnnQQ

31、D0100nQY01XQQQQQQYnnnnn101122XQQXQQZnnnn0101方法二:从时序图中可以看出Y1Y2的状态为0011011000。设:nnQYQY0211;则状态图、状态表为:nnQQD1111同理:XQQQDnnn01100XQQXQQZnnnn0101显然,方法二的结果比方法一的结果要简单得多。其逻辑图为:nnQQ01X/Z 00 11 01 10 0/0 0/0 0/0 0/1 1/0 1/1 1/0 1/0 Q CP D QQ CP D Q+ Y2Y1Q0Q1Z X CP RD 1 1 1 1 00 00 01 01 11 11 10 10 nQ1nQ2nQ0X

32、1 1 1 1 00 00 01 01 11 11 10 10 nQ1nQ2nQ0X X Y1Y2 Z 0 0 0 0 0 0 1 1 1 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 1 1 1 0 1 0 1 1 0 1 0 0 0 0 0 1 0 0 1 1 0 1 1 0 0 1 0 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 0 0 0 0 0 0 nnnQQQ012101112nnnQQQ1 1 1 1 0 00 1 01 11 10 nQ1nQ0X X Z 0 0 0 1 1 0 0 0 1 1 0 0 0 1 0 1

33、 0 0 0 1 1 1 1 1 1 0 0 0 0 1 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 0 0 nQ1nQ011nQ10nQ精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 13 页,共 16 页学习必备欢迎下载Q CP D Q+ Q CP D QCP RD X W Z 5.33、用正边沿D 触发器设计一个具有如下功能的电路(电路如图5 103 所示) ;(1)开关 K 处于位置1(即 X=0)时,输出ZW=00; (2)当开关K 掷到 2(即 X=1 )时,电路要产生完整的系列输出,即ZW:000111 10(开始

34、 X 在位置 1) ; (3)如果完整的系列输出后,K 仍在位置 2,则 ZW 一直保持10 状态, 只有当 K 回到位置1 时,ZW才重新回到00。要求:( 1)画出最简状态图; (2)列出状态表; (3)给定状态分配; (4)写出状态方程及输出方程; (5)画出逻辑图。解: ZW 的状态为00、01、10、 11,所以设:输出 Z=Q1;W=Q0;输入: X 状态图状态表XQQQDnnn10111逻辑图XQQDnn11005.34、设计一个无堵塞的脉冲发生器,产生一个010011000 的序列脉冲,如图5104 所示。要求: (1)用 4 个正边沿D 型触发器,采用移位方式实现;(2)用数

35、据选择器,同步4 位计数器和“与非“门实现;(3)用图 597 所示移位寄存器实现。解: Z=01011000,01011000 (2)用四位二进制计数器构成一个8 进制计数器,其输出端控制一个8 选 1 数据选择器。设计的电路图 5103 Z W X K 2 1 R +5V nnQQ01X/ 00 01 11 0/ 1/ 1/ 1/ 0/ 10 1/ nnQQ01X 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 0 1 1 0 1011nnQQ1 1 1 0 00 1 01 11 10 nQ1nQ0X 1 1 0 00 1 01 11

36、 10 nQ1nQ0X 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 图 5104 4位二进制计数器QAQBQCQDL A B C D 8 选 1数据选择D0 D1 D2 D3 D4 D5 D6 D7 S2 S1 S0 YECP Z CP “1”“ 0”精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 14 页,共 16 页学习必备欢迎下载(1)码长 m=8, 3,8 nm时,序列为0Q1Q2Q有重复 000, n=4 0 1 0 0Q1Q2Q3Q1 0 1 5 1 0 1 0 1 1 0 11 1 1 0 1 0 1 1 6 0

37、1 1 0 0 0 1 12 0 0 1 1 0 0 0 8 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 1 0 0 0 0 1 0 2 0 1 0 0 00 01 11 10 00 1000 0100 xxxx 1010 01 xxxx 1101 xxxx 0011 11 0001 xxxx xxxx xxxx 10 0000 xxxx 0110 xxxx 13121110nnnnQQQQnnQQD2133nnQQD1122nnQQD0111nnnnnnnQQQQQQQD123023100检查能否自启动当00103210nnnnQQQQ时10011312110nnnnQQ

38、QQ100113121110nnnnQQQQ时01003210QQQQ如此检查各约束项,均可进入循环,所以可以自启动。0125116128143157941013Q CP D QQ CP D QQ CP D QQ CP D Q+ Q0Q1Q2Q3(Z)CP 精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 15 页,共 16 页学习必备欢迎下载(3)用四位右移移位寄存器实现KJAQBQCQDQ求KJ的表达式1 1 0 1 0 00 01 11 10 0 1 1 0 1 00 1 0 x 1 0 0 1 1 0 01 x 1 x 0 0 0 0 1 1

39、 11 x 0 x x 0 0 0 0 1 10 0 x 0 x 1 0 0 0 0 0 1 0 0 0 DCBDCAQQQQQQKJ1 0 1 0 0 1 1 0 1 0 另一种设计:CACBDQQQQQKJ检查自启动 (无堵塞 ): 0010DnCnBnAnQQQQ时01001001同前 ! QBQAQDQC4 位右移移位寄存器CK S/L A B C D RDQAQBQCQDJ K“1”CP + QDZ 4 位右移移位寄存器CK S/L A B C D RDQAQBQCQDJ K“1”CP QD+ CP 精选学习资料 - - - - - - - - - 名师归纳总结 - - - - - - -第 16 页,共 16 页

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号