数电课件ch044若干典型的组合逻辑集成电路

上传人:夏** 文档编号:567244923 上传时间:2024-07-19 格式:PPT 页数:83 大小:4.19MB
返回 下载 相关 举报
数电课件ch044若干典型的组合逻辑集成电路_第1页
第1页 / 共83页
数电课件ch044若干典型的组合逻辑集成电路_第2页
第2页 / 共83页
数电课件ch044若干典型的组合逻辑集成电路_第3页
第3页 / 共83页
数电课件ch044若干典型的组合逻辑集成电路_第4页
第4页 / 共83页
数电课件ch044若干典型的组合逻辑集成电路_第5页
第5页 / 共83页
点击查看更多>>
资源描述

《数电课件ch044若干典型的组合逻辑集成电路》由会员分享,可在线阅读,更多相关《数电课件ch044若干典型的组合逻辑集成电路(83页珍藏版)》请在金锄头文库上搜索。

1、复习复习1、组合逻辑电路的分析方法(任务、步骤)、组合逻辑电路的分析方法(任务、步骤)2、组合逻辑电路的设计方法(任务、步骤)、组合逻辑电路的设计方法(任务、步骤)1人们为解决实践上遇到的各种逻辑问题,设计了许多逻辑电路。然而,我们发现,其中有些逻辑电路经常、大量出现在各种数字系统当中。为了方便使用,各厂家已经把这些逻辑电路制造成中规模中规模集成的组合逻辑电路产品。集成的组合逻辑电路产品。比较常用的有编码器、译码器、数据选择器、算编码器、译码器、数据选择器、算术运算电路术运算电路和数值比较器数值比较器等等。下面分别进行介绍。 4.4 若干典型的组合逻辑集成电路若干典型的组合逻辑集成电路24.4

2、.1 编码器编码器4.4.2 译码器译码器/数据分配器数据分配器4.4.3 数据选择器数据选择器4.4.4 数值比较器数值比较器4.4.5 算术运算电路算术运算电路31、)编码器编码器 (Encoder)的概念与分类的概念与分类如:如:ASCII码中,用码中,用1000001表示字母表示字母A等等4.4.1 编码器编码器生活中常用十进制数及文字、符号等表示事物。数字电路只能以二进制信号工作。用二进制代码表示文字、符号或者数码等特定对象的过程,称为编码编码。实现编码的逻辑电路,称为编码器编码器。编码器译码器4对M个信号编码时,应如何确定二进制代码的位数N? N位二进制代码可以表示多少多少个信号?

3、 例:对101键盘编码时,采用几几位二进制代码?编码原则:N位二进制代码可以表示2N个信号,则对M个信号编码时,应由 来确定位数N。例:对101键盘编码时,采用了7位二进制代码ASC码。27128101。目前经常使用的编码器有普通编码器和优先编码器两种。 2n-1M2n5普通编码器:普通编码器:任何时候只允许输入一个有效编码信任何时候只允许输入一个有效编码信号,否则输出就会发生混乱。号,否则输出就会发生混乱。优先编码器:优先编码器:允许同时输入两个以上的有效编码信允许同时输入两个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器号。当同时输入几个有效编码信号时,优先编码器能按预先设定

4、的优先级别,只对其中优先权最高的能按预先设定的优先级别,只对其中优先权最高的一个进行编码。一个进行编码。6二进制编码器的结构框图二进制编码器的结构框图普通二进制编码器普通二进制编码器1、编码器的工作原理、编码器的工作原理I0I1Yn-1Y0Y11n2 I二进制二进制编码器编码器2n个个输入输入n位二进位二进制码输出制码输出7(1) 4线线2线普通二进制编码器线普通二进制编码器 (设计设计)1000010000100001Y0Y1I3I2I1I0 (2)逻辑功能表)逻辑功能表编码器的输入为高电平有效。编码器的输入为高电平有效。 (a)逻辑框图)逻辑框图4输输入入二二进进制制码码输输出出11011

5、0001、编码器的工作原理、编码器的工作原理任何时刻只允许输入一个编码请求其它输入取值组合不允许出现,即4个输入信号是互斥的。8该电路是否可以再简化?该电路是否可以再简化?输入输入高电高电平有平有效效输输出出高高电电平平有有效效9当所有的输入都为当所有的输入都为1时,时,Y1Y0 = ?Y1Y0 = 00无法输出有效编码。无法输出有效编码。结论:普通编码器不能同时输入两个已上的编码请求信号结论:普通编码器不能同时输入两个已上的编码请求信号I2 = I3 = 1 , I1= I0= 0时,时,Y1Y0 = ?Y1Y0 = 0010(2.) 键盘输入键盘输入8421BCD码编码器(分析)码编码器(

6、分析)代码输出代码输出使能标志使能标志 编码输入编码输入 注意:注意:不不论是论是42线或是线或是10-4线,线,输入信号输入信号必须互斥必须互斥11该编码器为输入低电平有效该编码器为输入低电平有效2. 键盘输入键盘输入8421BCD码编码器码编码器功能表功能表 12 3. 3. 优先编码器优先编码器 优先编码器的提出:优先编码器的提出: 实际应用中,经常有两实际应用中,经常有两个或更多输入编码信号个或更多输入编码信号同时有效。同时有效。 必须根据轻重缓急,规定好这些外设允许操作的先后次必须根据轻重缓急,规定好这些外设允许操作的先后次 序,即优先级别。序,即优先级别。 识别多个编码请求信号的优

7、先级别,并进行相应编码的逻识别多个编码请求信号的优先级别,并进行相应编码的逻辑部件称为优先编码器。辑部件称为优先编码器。13(2)优先编码器线优先编码器线(42 线优先编码器线优先编码器)(设计)(设计)(1)列出功能表)列出功能表输 入入输 出出I0I1I2I3Y1Y0100000100011010111高高低低(2)写出逻辑表达式)写出逻辑表达式(3)画出逻辑电路(略)画出逻辑电路(略)输入编码信号高电平有效,输出为二进制代码输入编码信号高电平有效,输出为二进制代码输入编码信号优先级从高到低为输入编码信号优先级从高到低为I0I3输入为编码信号输入为编码信号I3 I0 输出为输出为Y1 Y0

8、3321IIIY+=33210IIIIY+=148线线-3线优先编码器线优先编码器CD4532的示意框图、引脚图的示意框图、引脚图2 集成电路编码器集成电路编码器I I0 0I I7 7为编码输入端,高电平有效。为编码输入端,高电平有效。Y Y0 0Y Y2 2为编码输出端,也为高电平有效,即原码输出。为编码输出端,也为高电平有效,即原码输出。15其他功能:其他功能:(1 1)EIEI为使能输入端,高电平有效。为使能输入端,高电平有效。(2 2)优先顺序为)优先顺序为I I77I I0 0,即,即I I7 7的优先级最高,然的优先级最高,然后是后是I I6 6、I I5 5、I I0 0。(3

9、 3)GSGS为编码器的工作标志,高电平有效。为编码器的工作标志,高电平有效。(4 4)EOEO为使能输出端,高电平有效。为使能输出端,高电平有效。16CD4532电路图电路图17 优先编码器优先编码器CD4532功能表功能表输 入入输 出出EII7I6I5I4I3I2I1I0Y2Y1Y0GSEOLLLLLLHLLLLLLLLLLLLHHHHHHHLHLHHHLHLHLLHHLHHLHLLLHHLLHLHLLLLHLHHHLHLLLLLHLHLHLHLLLLLLHLLHHLHLLLLLLLHLLLHL为什么要设计为什么要设计GS、EO输出信号?输出信号?输出使能端输出使能端工作标志工作标志输入

10、使能端输入使能端18用二片用二片CD4532构成构成1616线线-4-4线优先编码器线优先编码器, ,其逻辑图如下其逻辑图如下图所示,试分析其工作原理。图所示,试分析其工作原理。 。00 0 0 0 0 0无编码输出无编码输出019。11 0 0 0 0 00若无有效电平输入若无有效电平输入 0 1 1 11若有效电平输入若有效电平输入120。10 1 0 0 00若有效电平输入若有效电平输入 1 1 1 1那块芯片的优先级高?那块芯片的优先级高?121译码器的分类:译码器的分类: 译码译码:译码是编码的逆过程,它能将二进制码翻译成代表某译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义

11、的信号一特定含义的信号.(.(即电路的某种状态即电路的某种状态) )1 1 译码器的概念与分类译码器的概念与分类译码器译码器:具有译码功能的逻辑电路称为译码器具有译码功能的逻辑电路称为译码器。唯一地址译码器唯一地址译码器代码变换器代码变换器将一系列代码转换成与之一一对应的有效将一系列代码转换成与之一一对应的有效信号。信号。 将一种代码转换成另一种代码。将一种代码转换成另一种代码。 二进制译码器二进制译码器 二二十进制译码器十进制译码器常见的唯一地址译码器:常见的唯一地址译码器: 4.4.2 译码器译码器/ /数据分配器数据分配器显示译码器显示译码器22 二进制译码器二进制译码器 输入:二进制代

12、码(N位),输出:2N个,每个输出仅包含一个最小项。n 个输个输入端入端使能输使能输入端入端2n个输个输出端出端设输入端的个数为设输入端的个数为n,输出端的个数为,输出端的个数为M则有则有 M=2n232 2线线 - 4 - 4线译码器的逻辑电路线译码器的逻辑电路( (分析)分析) LHHHHHLHLHHLHLHHLHHLLHHHLLLLHHHHHY3Y2Y1Y0A0A1E输出出输 入入功能表功能表当译码器处于工作状态时,每输入一个二进制代码将使对应的一个输出端为低电平,而其它输出端均为高电平。也可以说对应的输出端被“译中”。24(a) 74HC139集成译码器集成译码器 (1. )二进制译码

13、器二进制译码器LHHHHHLHLHHLHLHHLHHLLHHHLLLLHHHHHY3Y2Y1Y0A0A1E输出出输 入入功能表功能表2、 集成电路译码器集成电路译码器25逻辑符号说明逻辑符号说明逻辑符号框外部的逻辑符号框外部的符号,表示符号,表示外部输入或输出信号外部输入或输出信号名称,字名称,字母上面的母上面的“”“”号说明该输入号说明该输入或输出是低电平有效。符号框或输出是低电平有效。符号框内部的输入、输出变量表示其内部的输入、输出变量表示其内部的逻辑关系。在推导表达内部的逻辑关系。在推导表达式的过程中,如果低有效的输式的过程中,如果低有效的输入或输出变量入或输出变量( (如如) )上面的

14、上面的“”号参与运算号参与运算( (如如E E变为变为E E ) ),则在画逻辑图或验证真值表时,则在画逻辑图或验证真值表时,注意将其还原为低有效符号注意将其还原为低有效符号。 E1A 111&Y0Y1Y2Y3A0 Y0Y2Y1Y3EA 1A0 26(b) 74HC138(74LS138)集成译码器集成译码器 引脚图引脚图逻辑图逻辑图2774HC138集成译码器集成译码器逻辑图逻辑图2874HC138集成译码器功能表集成译码器功能表LHHHHHHHHHHLLHHLHHHHHHLHHLLHHHLHHHHHHLHLLHHHHLHHHHLLHLLHHHHHLHHHHHLLLHHHHHHLHHLHLL

15、LHHHHHHHLHHLLLLHHHHHHHHLLLLLLHHHHHHHHHLHHHHHHHHHXHHHHHHHHHA2E3输输 出出输输 入入A1A029LHHHHHHHHHHLLHHLHHHHHHLHHLLHHHLHHHHHHLHLLHHHHLHHHHLLHLLHHHHHLHHHHHLLLHHHHHHLHHLHLLLHHHHHHHLHHLLLLHHHHHHHHLLLLLLHHHHHHHHHLHHHHHHHHHXHHHHHHHHHA2E3输输 出出输输 入入A1A0301 1、已知下图所示电路的、已知下图所示电路的输入信号的波形试画出译码器输出的波形。输入信号的波形试画出译码器输出的波形。译

16、码器的应用译码器的应用312、译码器的扩展译码器的扩展用用74X139和和74X138构成构成5线线-32线译码器线译码器323 3线线88线译码器的线译码器的 含三变量函数的全部最小项。含三变量函数的全部最小项。Y Y0 0Y Y7 7基于这一点用该器件能够方便地实现三变量逻辑函数。基于这一点用该器件能够方便地实现三变量逻辑函数。3、用译码器实现逻辑函数。、用译码器实现逻辑函数。.当当E3 =1 ,E2 = E1 = 0时时33用一片用一片74HC138实现函数实现函数首先将函数式变换为最小项之和的形式首先将函数式变换为最小项之和的形式在译码器的输出端加一个与非门,即可实现给定的组合在译码器

17、的输出端加一个与非门,即可实现给定的组合逻辑函数逻辑函数.34数据分配器:相当于多输出的单刀多掷开关,是一种能将数据分配器:相当于多输出的单刀多掷开关,是一种能将从数据分时送到多个不同的通道上去的逻辑电路。从数据分时送到多个不同的通道上去的逻辑电路。数据分配器示意图数据分配器示意图用用74HC138组成组成数据分配器数据分配器35用译码器实现数据分配器用译码器实现数据分配器 010当当ABC = 010 时,时,Y2=DCBA36输输 入入输输 出出E3E E2 2E E1 1A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7LLXXXXHHHHHHHHHLDLLLDHHHHHHHHLDLLHHDH

18、HHHHHHLDLHLHHDHHHHHHLDLHHHHHDHHHHHLDHLLHHHHDHHHHLDHLHHHHHHDHHHLDHHLHHHHHHDHHLDHHHHHHHHHHD74HC138译码器作为数据分配器时的功能表译码器作为数据分配器时的功能表 37 集成二集成二集成二集成二 十进制译码器十进制译码器十进制译码器十进制译码器 7442 7442功能:将功能:将8421BCD码译成为码译成为10个状态输出。个状态输出。 38功能表功能表十进十进制数制数BCD输入输入输输 出出A3A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7Y8Y90LLLLLHHHHHHHHH1LLLHHLHHHHHHH

19、H2LLHLHHLHHHHHHH3LLHHHHHLHHHHHH4LHLLHHHHLHHHHH5LHLHHHHHHLHHHH6LHHLHHHHHHLHHH7LHHHHHHHHHHLHH8HLLLHHHHHHHHLH9HLLHHHHHHHHHHL对于对于BCD代码以外的伪码(代码以外的伪码(10101111这这6个代码)个代码)Y0 Y9 均均为高电平。为高电平。 (2) 集成二集成二十进制译码器十进制译码器744239显示译码器显示译码器401. 1. 七段显示译码器七段显示译码器(1 1)最常用的显示器有:半导体发光二极管和液晶显示器。)最常用的显示器有:半导体发光二极管和液晶显示器。 共阳极

20、显示器共阳极显示器共阴极显示器共阴极显示器abcdfge显示器分段布局图显示器分段布局图41常用的集成七段显示译码器常用的集成七段显示译码器 -CMOS七段显示译码器七段显示译码器74HC4511 42LTHHLHHHHHLLHHHL9HHHHHHHLLLHHHL8LLLLHHHHHHLHHL7HHHHHLLLHHLHHL6HHLHHLHHLHLHHL5HHLLHHLLLHLHHL4HLLHHHHHHLLHHL3HLHHLHHLHLLHHL2LLLLHHLHLLLHHL1LHHHHHHLLLLHHL0gfedcba字形字形输输 出出输输 入入十进十进制或功制或功能能D3D2D1D0BLLECM

21、OS七段显示译码器七段显示译码器74HC4511功能表功能表43*HHH锁锁 存存熄灭熄灭LLLLLLLHL灭灭 灯灯HHHHHHHL灯灯 测测 试试熄灭熄灭LLLLLLLHHHHHHL15熄灭熄灭LLLLLLLLHHHHHL14熄灭熄灭LLLLLLLHLHHHHL13熄灭熄灭LLLLLLLLLHHHHL12熄灭熄灭LLLLLLLHHLHHHL11熄灭熄灭 LLLLLLLLHLHHHL10LTgfedcba字形字形输输 出出输输 入入十进制十进制或功能或功能BLLED3D2D1D0CMOS七段显示译码器七段显示译码器74HC4511功能表功能表(续续)44例例 由由74HC4511构成构成24

22、小时及分钟的译码电路如图所示,小时及分钟的译码电路如图所示,试分析小时高位是否具有零熄灭功能。试分析小时高位是否具有零熄灭功能。454.3.3 数据选择器数据选择器1 1、数据选择器的定义与功能、数据选择器的定义与功能 数据选择的功能:在通道选数据选择的功能:在通道选择信号的作用下,将多个通择信号的作用下,将多个通道的数据分时传送到公共的道的数据分时传送到公共的数据通道上去的。数据通道上去的。数据选择器:能实现数据选择功能的逻辑电路。它的作用数据选择器:能实现数据选择功能的逻辑电路。它的作用相当于多个输入的单刀多掷开关,又称相当于多个输入的单刀多掷开关,又称“多路开关多路开关” ” 。464选

23、选1数据选择器数据选择器2 2 位地址位地址码输入端码输入端使能信号输使能信号输入端,低电入端,低电平有效平有效1 1路数据输路数据输出端出端(1 1)逻辑电路)逻辑电路数数据据输输入入端端47(2 2)工作原理及逻辑功能)工作原理及逻辑功能0 0I I3 30 11 01 1=1=1=0=001YS0S1E地址地址使能使能输出输出输输 入入功能表功能表000I0001I1010I2011I34874LS151功能框功能框图图D7YYE7474HC151151D6D5D4D3D2D1D0S2S1S02、集成电路数据选择器、集成电路数据选择器8选选1数据选择器数据选择器74HC151492 2、

24、集成电路数据选择器、集成电路数据选择器2 2个互补个互补输出端输出端8 8 路数据路数据输入端输入端1 1个使能个使能输入端输入端3 3 个地址个地址输入端输入端74LS151的逻辑图的逻辑图503、74LS151的功能表的功能表当当E=1时,时,Y=1 。 当当E=0时时51数据选择器组成逻辑函数产生器数据选择器组成逻辑函数产生器控制控制Di ,就可得到不同的逻辑函数。就可得到不同的逻辑函数。5 5、数据选择器、数据选择器74LS151的的应用应用当当D0 =D3=D5 = D7=0D1 =D2=D4= D6=1 时:时:当当D0 =D3=D5 = D7=1D1 =D2=D4= D6=0 时

25、:时:D7YYE74LS15174LS151D6D5D4D3D2D1D0S2S1S0当当E=0时时:52比较比较Y与与L,当,当 D3=D5=D6=D7= 1 D0=D1=D2=D4=0时时,D7E74HC151D6D5D4D3D2D1D0S2S1S0LYXYZ10Y=L例例1 试用试用8选选1数据选择器数据选择器74LS151产生逻辑函数产生逻辑函数 解解:53利用利用8 8选选1 1数据选择器组成函数产生器的一般步骤数据选择器组成函数产生器的一般步骤a a、将函数变换成最小项表达式、将函数变换成最小项表达式b b、将使器件处于使能状态、将使器件处于使能状态c c、地址、地址信号信号S2、

26、S1 、 S0 作为函数的输入变量作为函数的输入变量d d、处理数据输入、处理数据输入D0D7信号电平。逻辑表达式中有信号电平。逻辑表达式中有mi ,则相应则相应Di =1,其他的数据输入端均为,其他的数据输入端均为0。总结总结: :54用两片用两片74151组成二位八选一的数据选择器组成二位八选一的数据选择器 数据选择器的扩展数据选择器的扩展位的扩展位的扩展55字的扩展字的扩展 将将两片两片74LS151连接成一个连接成一个16选选1的数据选择器,的数据选择器, 56 实现并行数据到串行数据的转换实现并行数据到串行数据的转换571. 1位数值比较器位数值比较器(设计设计) 数值比较器:对两个

27、数值比较器:对两个1位数字进行比较(位数字进行比较(A、B),以),以判断其大小的逻辑电路。判断其大小的逻辑电路。输入:两个一位二进制数输入:两个一位二进制数 A、B。 输出:输出: FBA=1,表示,表示A大于大于BFBABA=FBAABBA+=FBA=一位数值比较器真值表一位数值比较器真值表10011001010101010000FA=BFABBA输输 出出输输 入入592、2 位数值比较器:位数值比较器:输入:两个输入:两个2 2位二进制数位二进制数 A=A1 A0 、B=B1 B0能否用能否用1 1位数值比较器设计两位数值比较器位数值比较器设计两位数值比较器? ? 比较两个比较两个2

28、2 位二进制数的大小的电路位二进制数的大小的电路当高位当高位(A1、B1)不相等时,无需比较低位()不相等时,无需比较低位(A0、B0),高),高位比较的结果就是两个数的比较结果。位比较的结果就是两个数的比较结果。当高位相等时,两数的比较结果由低位比较的结果决定。当高位相等时,两数的比较结果由低位比较的结果决定。用一位数值比较器设计多位数值比较器的原则用一位数值比较器设计多位数值比较器的原则60 真值表真值表001010100A0 B0A0 B0A0 = B0A1 = B1A1 = B1A1 = B1010A1 B1FA=BFABA0 B0A1 B1输 出出输 入入FAB = (A1B1) +

29、 ( A1=B1)(A0B0)FA=B=(A1=B1)(A0=B0)FAB = (A1B1) + ( A1=B1)(A0B = (A1B1) + ( A1=B1)(A0B0)FA=B=(A1=B1)(A0=B0)FAB = (A1B1) + ( A1=B1)(A0BIABFA B3HLLA3 B2HLLA3 = B3A2 B1HLLA3 = B3A2 = B2A1 B0HLLA3 = B3A2 = B2A1 = B1A0 FBA FBA= =高位片高位片输出输出低位片低位片B3A3B0A0B7A7B4A465用两用两片片74LS85组成组成16位数值比较器(串联位数值比较器(串联扩展方式)。扩

30、展方式)。高位片高位片 输出输出低位片低位片B3A3B0A0B7A7B4A4B11A11B8A8B15A15B12A12采用串联扩展方式采用串联扩展方式数值比较器数值比较器66用用7 74HC85组成组成1616位数值比较器的并联扩展方式。位数值比较器的并联扩展方式。B3A3B0A0B7A7B4A4B11A11B8A8B15A15B12A12输出输出674.4.5 算术运算电路算术运算电路 在两个在两个1 1位二进制数相加时,不考虑低位来的进位的相加位二进制数相加时,不考虑低位来的进位的相加 - -半加半加 在两个二进制数相加时,考虑低位进位的相加在两个二进制数相加时,考虑低位进位的相加 -

31、-全加全加 加法器分为半加器和全加器两种。加法器分为半加器和全加器两种。半加器半加器全加器全加器1 1、半加器和全加器、半加器和全加器两个两个4 4 位二进制数相加位二进制数相加: :68(1 1) 1 1位半加器(位半加器(Half Adder) 不考虑低位进位,将两个不考虑低位进位,将两个1 1位二进制数位二进制数A、B相加的器件。相加的器件。 半加器的真值表半加器的真值表 逻辑表达式逻辑表达式1000C011110101000SBA 半加器的真值表半加器的真值表BABAS+ += =如用与非门实现最少要几个门如用与非门实现最少要几个门? ?C = AB 逻辑图逻辑图69(2 2) 全加器

32、(全加器(Full Adder) 1110100110010100全加器真值表全加器真值表 全加器能进行加数、被加数和低位来的进位信号相加,全加器能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号。并根据求和结果给出该位的进位信号。111011101001110010100000CSCBA70 你能用你能用7415174138设计设计全加器吗全加器吗? ? 用这两种器件组成逻辑函数产生电用这两种器件组成逻辑函数产生电路路, ,有什么不同有什么不同? ?于是可得全加器的逻辑表达式为于是可得全加器的逻辑表达式为71加法器的应用加法器的应用1110100110010100全加

33、器真值表全加器真值表 111011101001110010100000CSCBAABC有奇数个有奇数个1时时S为为1;ABC有偶数个有偶数个1和全为和全为0时时S为为0。-用全加器组成三位二进制代用全加器组成三位二进制代码码奇偶校验器奇偶校验器用全加器组成八位二进制代码用全加器组成八位二进制代码奇偶校验器,电路应如何连接?奇偶校验器,电路应如何连接?72(1 1)串行进位加法器)串行进位加法器如何用如何用1 1位全加器实现两个四位二进制数相加?位全加器实现两个四位二进制数相加? A3 A2 A1 A0 + B3 B2 B1 B0 =?低位的进位信号送给邻近高位作为输入信号,采用串行进位低位的进

34、位信号送给邻近高位作为输入信号,采用串行进位加法器运算速度不高。加法器运算速度不高。2 2、多位数加法器、多位数加法器0 073定义两个中间变量定义两个中间变量Gi和和Pi : Gi= AiBi (2 2)超前进位加法器)超前进位加法器 提高运算速度的基本思想:设计进位信号产生电路,在输入每位提高运算速度的基本思想:设计进位信号产生电路,在输入每位的加数和被加数时,同时获得该位全加的进位信号,而无需等待最的加数和被加数时,同时获得该位全加的进位信号,而无需等待最低位的进位信号。低位的进位信号。定义第定义第i 位的进位信号(位的进位信号(Ci ):):Ci= GiPi Ci-1 74 4 4位全

35、加器进位信号的产生:位全加器进位信号的产生:C0= G0+ +P0 C-1 C1= G1+ +P1 C0C1 = G1+ +P1 G0+ P1P0 C-1 C2= G2+ +P2 C1 C2 = G2+ +P2 G1+ P2 P1 G0+ P2 P1 P0C-1 C3= G3+ +P3 C2 = G3+ +P3 (G2+ P2 C1 )=G3+ +P3 G2+P3P2 C1 =G3+ +P3 G2+P3P2 (G1+ P1C0 ) C3 =G3+ +P3 G2+P3P2 G1+ P3P2 P1 (G0+ P0C-1)Gi= AiBiCi= GiPi Ci-1 75集成超前进位产生器集成超前进位

36、产生器74LS182逻辑图逻辑图逻辑符号逻辑符号76超前进位集成超前进位集成4位加法器位加法器74LS283 7474HC283 3逻辑框图逻辑框图 74HC283引脚图引脚图7774HC283逻辑框图逻辑框图784. 4. 超前进位超前进位加法器加法器74LS283的应用的应用例例1. 1. 用两片用两片74LS283构成一个构成一个8位二进制数加法器。位二进制数加法器。在片内是超前进位,而片与片之间是串行进位。在片内是超前进位,而片与片之间是串行进位。798421码输入码输入余余3 3码输出码输出1 10 0例例. 用用74283构成将构成将8421BCD码转换为余码转换为余3码的码的码制

37、转换电路码制转换电路 。8421码码余余3码码000000010010001101000101+0011+0011+0011CO803 3 减法运算减法运算 在实际应用中,通常是将减法运算变为加法运算来处在实际应用中,通常是将减法运算变为加法运算来处理,即采用加补码的方法完成减法运算。理,即采用加补码的方法完成减法运算。若若n位二进制的原码为位二进制的原码为N原原,则与它相对应的,则与它相对应的2 的补码为的补码为N补补=2N N原原补码与反码的关系式补码与反码的关系式N补补=N反反+1设两个数设两个数A、B相减,利用以上两式相减,利用以上两式可得可得A B=A+B补补 2n=A+B反反+1 2n811 1)A B 0的情况。的情况。2 2)A B 0的情况的情况。 结果结果表明,在表明,在AB 0时,时,如加补进位信号为如加补进位信号为1,所得的差,所得的差就是差的原码。就是差的原码。在在AB 0时,如加补的进位时,如加补的进位信号信号为为0 0,所得的差是差绝对,所得的差是差绝对值的补码。值的补码。A=0101 ,B=0001A= 0001 ,B=0101 1 0 1 0 0 0 1 1 0 0 820110输出为原码的输出为原码的4 4位减法运算逻辑图位减法运算逻辑图83

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号