毕业设计论文-智力竞赛抢答器-电子信息工程-报告

上传人:hs****ma 文档编号:564902449 上传时间:2023-08-26 格式:DOC 页数:28 大小:3.11MB
返回 下载 相关 举报
毕业设计论文-智力竞赛抢答器-电子信息工程-报告_第1页
第1页 / 共28页
毕业设计论文-智力竞赛抢答器-电子信息工程-报告_第2页
第2页 / 共28页
毕业设计论文-智力竞赛抢答器-电子信息工程-报告_第3页
第3页 / 共28页
毕业设计论文-智力竞赛抢答器-电子信息工程-报告_第4页
第4页 / 共28页
毕业设计论文-智力竞赛抢答器-电子信息工程-报告_第5页
第5页 / 共28页
点击查看更多>>
资源描述

《毕业设计论文-智力竞赛抢答器-电子信息工程-报告》由会员分享,可在线阅读,更多相关《毕业设计论文-智力竞赛抢答器-电子信息工程-报告(28页珍藏版)》请在金锄头文库上搜索。

1、 课程设计报告题 目 智力竞赛抢答器 学 院 电子信息工程学院 专 业 电子信息工程 学生姓名 学 号 年级 级2班 指导教师 职称 副教授 2014年 5 月 20 日课程设计任务书课程设计题目智力竞赛抢答器组长 学号 班级级2班系别电子信息工程专业电子信息工程组员 课程设计目的亲自体验一次采用现代电子设计自动化技术,从无到有自主完成一个电子系统设计的全过程,以获得初步的电子系统设计经验。课程设计所需环境计算机、设计软件Quartus、EDA实验箱课程设计任务要求1设计任务: 设计一个能满足8个组同时参加竞赛的抢答器,其功能为:电路复位后,数码显0,主持人示意抢答开始后,每个组都可以通过各自

2、的按钮开关发出抢答信号,抢答器一旦接收到某组最先发出的抢答信号后,立即让数码管显出该组的组号,同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。重新复位后数码显示归0,提示音停止,在抢答组回答完问题后,重复前述过程,可进行下轮抢答。2 设计要求: 用VHDL语言描述抢答器逻辑功能,经编译后仿真且波形正确后,下载到实验箱上做真实电路验证。 按学院课程设计规范撰写设计报告:报告中应给出设计方案框图(模块的划分,信息的传递关系)、各模块的VHDL程序、每个模块的仿真波形图,并辅以文字分析说明、下载验证操作过程、设计的心得体会和收获。课程设计工作进度计划序号起止日期工 作 内 容分工情况1周1布

3、置讲解课程设计题目、内容和要求拷贝quartus软件2周1-周2按课程设计题目要求自行设计图书馆查资料3周2-周3按课程设计题目要求自行设计子模块编程4周3-周4在实验室上机对所设计的内容做软硬件调试子模块连接5周5按规范撰写课程设计报告书实验结果分析,写报告指导教师签字: 杨显富 2014 年 5 月 20 日系部审核意见:教研室主任签字: 2014 年 月 日I智力竞赛抢答器摘要:本课程设计分为智力竞赛抢答器的分模块设计和智力竞赛抢答器的原理图设计两个层次的设计。在本次设计中,模块设计分为锁存器设计,编码器设计,译码器设计;系统开发平台为Quartus ;硬件描述语是VHDL。竞赛者可以分

4、为八组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。关键词:抢答器;锁存器;编码器;数码显示器Intellectual Competition ResponderAbstract:The curriculum is divided into quiz buzzer module design and schematic design for quiz buzzer designed on two levels.

5、In this design, the module is divided into latch design, encoder design, decoder design, system development platform for Quartus II; is a VHDL hardware description language. Competitors are divided into eight groups, when answering the questions posed by the moderator to each group within the shorte

6、st possible time to make decisions, and press the answer key to answer the questions. When the first person after the button is pressed, the group number is displayed on the monitor, while the circuit will other groups of key blocked, so that it does not work. After answering questions, convened by

7、the Facilitator, all key recovery and start answering the next round.Key words:Buzzer; latches; encoder, digital display目 录第1章 引言11.1 EDA的概述11.2 Quartus II的概述11.3 VHDL的概述21.4 智力竞赛抢答器的概述21.4.1 课程设计题目21.4.2 课程设计的任务及要求21.4.3 课程设计的思想.31.4.4 软硬件运行环境及开发工具3第2章 设计流程42.1设计模块方框图42.2 模块功能分析42.2.1 抢答输入开关电路42.2.

8、2 锁存器52.2.3 编码器52.2.4 译码器52.2.5解锁器.52.2.6数码显示器与喇叭52.2.7图1.1与图2.1比较5第3章 软硬件设计53.1系统方框图53.2模块分析63.2.1锁存器模块和仿真波形63.2.2编码器模块和仿真波形93.2.3译码器模块和仿真波形113.2.4与门模块和仿真波形153.2.5完整模块和仿真波形163.3硬件调试与操作说明163.3.1引脚锁定163.3.2调试与故障处理16第4章 课程设计总结与体会17参考文献19第1章 引言1.1 EDA的概述20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计

9、方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

10、EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。EDA技术是指以计算机为工作平台,融合了应用电子技术

11、、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和I

12、C设计。1.2 Quartus II概述Quartus II 是Altera 的公司综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaF

13、unction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支

14、持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。1.3 VHDL的概述VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号