《可编程逻辑系统设计》试卷

上传人:枫** 文档编号:564800310 上传时间:2024-02-11 格式:DOCX 页数:6 大小:25.23KB
返回 下载 相关 举报
《可编程逻辑系统设计》试卷_第1页
第1页 / 共6页
《可编程逻辑系统设计》试卷_第2页
第2页 / 共6页
《可编程逻辑系统设计》试卷_第3页
第3页 / 共6页
《可编程逻辑系统设计》试卷_第4页
第4页 / 共6页
《可编程逻辑系统设计》试卷_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《《可编程逻辑系统设计》试卷》由会员分享,可在线阅读,更多相关《《可编程逻辑系统设计》试卷(6页珍藏版)》请在金锄头文库上搜索。

1、物理与电信工程学院 2011 /2012学年(2)学期期末考试试卷可编程逻辑系统设计试卷(A卷)一名词解析(别幼稚地去背什么是ASIC、FPGA 了。TAT。本题10分呐)1、自上而下的设计方法2、时序逻辑电路二、填空题1、VHDL设计实体的基本结构由库、程序包、实体、结构体和配置组成。2、在VHDL中,为目标变量赋值的符号是 工,程序中为信号赋值的符号是 =3、 VH DL的三种描述方式 .。4、VHDL中有四种数据对象,分别为常数、变量、信号和文件三、选择题(一共10题,20分)1、在VHDL中idata是一个信号,数据类型为stdogic_vector,指出下面错误的是(D)A、idat

2、a=”00001111”B、idata=b”00001111”C、idata=x”AB”D、idata=B”21”2、在下列标识符中,(A)是VHDL错误的标识符A. 4h_addB. h_adde4C. h_adder_4D. _h_adde3、在VHDL的端口声明语句中,用(A )声明端口为输入方向。A、 IN;B、 OUT;C、 INOUT; D、 BUFFER4、下列表达式错误的是(A)A、CONSTANTVcc:REAL:=”0101”B、CONSTANT DELY:TIME:=100ns;C、CONSTANT FBUS:BIT_VECTOR:=”0101”D、CONSTANT CN

3、T:INTEGER:=9;5、下列语句中,属于顺序语句的是()A、进程语句 B、IF语句 C、元件例语句 D、条件赋值语句6、以下哪个语句是错误的(D)A、a=b AND c AND d AND eB、a=b OR c OR d OR eC、a=(b NAND c) NAND d) AND eD、a=b AND c OR d AND e四、改错题(2 题)1、A的数据类型为INTEGER,B的数据类型为STD_LOGIC。判断以下程序是否正确如果 错误请说明原因并改错。ARCHITECTURE test OF test ISBEGINB=A;END test; 答:数据类型不用,要转化,自己看

4、书怎么转化吧2、题目太长了没抄下来,考点是CASE语句中必须包含所有的可能性。题中是“00” “01” “10”,少了“11”。五、判断程序实验什么功能1、注意是同步还是异步复位跟置位。2、忘记了。 = =。是个四位的带进位输入输出的加法器。七、使用IF语句设计一个带有同步复位功能的8位二进制加法计数器八、根据给出的真值表用VHDL语言描写具有使能端的3-8译码器3-8 译码器的真值表ena2a1a0y1000000000011001000000101010000001001011000010001100000100001101001000001110010000001111100000000

5、XXX00000000library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity tri_eight isport(a: in std_logic_vector (2 downto 0);en: in std_logic;y: out std_logic_vector (7 downto 0);end tri_eight;(2)architecture a of tri_eight issignal sel: std_logic_vect

6、or (3 downto 0);(4)beginsel(0) = a(0);sel(1) = a(1);sel(2) = a(2);sel(3) = en;(5)with sel selecty = 00000001 when 1000,end a;(9) (10)00000010 when 1001, 00000100 when 1010, 00001000 when 1011, 00010000 when 1100, 00100000 when 1101, 01000000 when 1110, 10000000 when 1111, 00000000 when others;复习提要主要

7、题型说明:名词解释填空题选择题判断题读程序:读程序,说明程序实现的功能;程序填空:给出一段程序,某些语句留空,要求读懂程序并在留空的位置填写适 当的语句或注释。编写程序:根据要求编写实现某功能的程序。主要内容第一章 概述 了解传统的系统硬件设计方法,了解利用硬件描述语言的硬件电路设计方法,优 势;第三章VHDL语言程序的基本结构(1)了解 VHDL 语言程序的组成部分(2)了解各个组成部分的语法结构第四章VHDL语言的数据类型与运算操作符(1)了解 VHDL 语言的客体 理解信号与变量的区别(2)VHDL 语言的数据类型(3)数据类型的转换 不同的数据类型不能进行运算和直接代入。掌握常用的转换

8、函数,如由 integer 转为 std_logic_vector, 或由 std_logic_vector 转为 integer。(4)VHDL 语言的运算操作符 逻辑运算符 必须注意,运算符的左边和右边,以及代入信号的数据类型必须是相同的。 在 VHDL 语言中,逻辑表达式的左右没有优先级差别,应加上括号确定运算 顺序,当然也有例外。算术运算符并置运算符的应用第五章 VHDL 语言构造体的描述方式(1) 了解构造体的三种描述方式:行为描述方式,寄存器传输(RTL )描 述方式,结构描述方式。( 2) 了解 VHDL 语言的两种延时类型:惯性延时和传输延时( 3) 了解和掌握结构化描述语句:

9、 COMPONENT 声明语句+元件例化语句 元件例化语句:标号名:元件名 PORT MAP(.) 了解两种元件的端口信号映射方法:位置映射方法、名称映射方法 第六章 VHDL 语言的主要描述语句(1)顺序描述语句了解顺序描述语句的应用场合。了解VHDL中的主要顺序描述语句。掌握常用顺序语句的语法格式和应用等 理解和掌握信号和变量的区别WAIT语句断言语句IF 语句IF语句的3种书写格式理解和应用IF语句的多选择控制语句隐含的优先级关系。CASE 语句CASE 语句使用的注意事项LOOP 语句( 2)并发语句了解VHDL中的主要并发语句易混淆:进程语句之间的并发性,进程内的语句的顺序性 了解进

10、程之间的通信是通过信号量而不是变量来实现的 并发信号代入语句条件信号代入语句选择信号代入语句(3) 其它语句和有关规定的说明了解VHDL中所使用的名字的命名规则( 5)属性描述与定义语句了解VHDL中各种预定义的属性类型。6)会利用主要描述语句编写典型的译码、编码、优先级编码等电路第八章 基本逻辑电路设计 (1)掌握各种基本组合电路设计 重点掌握编、译码器和选择器的设计 (2)掌握基本时序电路的设计 掌握各种时钟边沿信号的描述 掌握同步、异步复位描述 掌握各种 D 触发器的描述掌握JK触发器的描述 掌握移位寄存器的描述 掌握同步计数器的设计说明:重点考查读 VHDL 程序和编写 VHDL 程序的能力。重点是组合电路以及时序电 路。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号