毕业设计论文基于单片机的信号源设计

上传人:s9****2 文档编号:564607658 上传时间:2024-03-17 格式:DOC 页数:45 大小:891KB
返回 下载 相关 举报
毕业设计论文基于单片机的信号源设计_第1页
第1页 / 共45页
毕业设计论文基于单片机的信号源设计_第2页
第2页 / 共45页
毕业设计论文基于单片机的信号源设计_第3页
第3页 / 共45页
毕业设计论文基于单片机的信号源设计_第4页
第4页 / 共45页
毕业设计论文基于单片机的信号源设计_第5页
第5页 / 共45页
点击查看更多>>
资源描述

《毕业设计论文基于单片机的信号源设计》由会员分享,可在线阅读,更多相关《毕业设计论文基于单片机的信号源设计(45页珍藏版)》请在金锄头文库上搜索。

1、基于单片机的信号源设计学生姓名: 指导老师: 内容摘要本文是针对美国AD(Analog Devices)公司生产的DDS技术产品-AD9851芯片的功能特点进行的信号源设计,采用了AT89S52作为控制单片机,并对AT89S52控制AD9851组成直接数字式合成信号发生器的设计实现进行了阐述。以此为基础设计了硬件实现电路,并针对信号源的功能进行了C语言程序设计,完成了软件设计实现。文中阐述了信号源电路设计的总体思路,提出了键盘控制电路、频率显示电路和AT89S52对AD9851的40位频率/相位控制字输送的硬件设计,对键盘电路控制过程和频率电路显示过程中的数据传输过程进行了具体的描述。并对键盘

2、控制电路、频率显示电路、AT89S52对AD9851的数据传送的具体软件实现程序进行了描述。从信号源的硬件实验设计来看,其工作可靠、效率良好,而且切换频率速度快,既满足了输出波形高稳定度、高精度、高分辨率的要求,又有价格低廉、体积小的特点,AD9851芯片输出频率可达几十兆赫兹。关键词:DDS;AD9851;AT89S52目录第一章 绪论.311 DDS技术概述31. 2 DDS的特点31. 3 选题的意义4第二章DDS原理及其实现521 DDS原理522 AD9851的功能特点7221 AD9851的内部结构7222 AD9851的主要特性8223 AD9851的结构功能9224 AD985

3、1工作原理10225 控制方式1023 AT89S52的功能特点11231 AT89S52的基本特点12232 AT89S52的引脚结构和功能12第三章AT89S52控制AD9851的电路设计1531 数据传送控制电路15311 控制电路的硬件连接16312 控制电路的数据传送过程1632键盘控制电路16321键盘电路设计方案17322键盘电路的硬件设计18323矩阵式键盘的工作过程1933显示电路19331频率显示电路介绍19332频率显示系统的设计20333 AT89S52和频率显示电路的连接21第四章 AT89S52控制AD9851的程序设计2441数据传送控制电路的程序设计24411数

4、据传送的C程序设计24412程序说明2542键盘控制电路的程序设计25421键盘查询程序设计25422数字按键的子程序设计27423小数点及其运算子程序的设计28424频率单位运算子程序的设计29425频率运算子程序的设计30426相位运算子程序的设计30427“删除”和“清除”子程序的设计31428“复位”子程序的设计3243显示电路的程序设计32431频率显示子程序设计32432“初显”子程序的设计33第五章 总结.33本文主要工作总结33下一步的工作设想34附录一信号源接口电路设计34附录二信号源电路的程序运行流程图36附录三C语言运行程序37第一章 绪论随着现代电子技术的发展,在通讯、

5、雷达、宇航、电视广播、遥控遥测和电子测量等使用领域,都需要在一个特定的频率范围内使用一系列频率信号。这时,信号的频率和稳定度就显得尤为重要。这就直接导致了频率合成技术的发展。近年来,随着直接数字频率合成技术(Direct Digital Frequency Synthesis.简称DDS)的发展,这个问题已经得到了有效的解决。频率合成技术是将一个(或多个)基准频率变换成另一个(或多个)合乎质量要求的所需频率的技术。在通信、雷达、导航、电子侦察、干扰与抗干扰等众多领域都有应用。随着各种频率合成器和频率合成方案的出现,频率合成技术得到了不断的发展。11DDS技术概述1971年3月美国学者J.Tie

6、rncy,C.M.Rader和B.Gold首次提出了直接数字频率合成(DDS_Direct Digital Synthesis)技术。这是一种从相位概念出发直接合成所需要波形的新的全数字频率合成技术。同传统的频率合成技术相比,DDS技术具有极高的频率分辨率、极快的变频速度,变频相位连续、相位噪声低,易于功能扩展和全数字化便于集成,容易实现对输出信号的多种调制等有点,满足了现代电子系统的许多要求,因此得到了迅速的发展。在频率合成(FS,frequency synthetic)领域中,常用的频率合成技术有模拟锁相环、数字锁相环、小树分频想换(fractional-NPLL Synthesis)等,

7、直接数字合成(DDS)是今年来新的FS技术。单片集成的DDS产品是一种可代替锁相环的快速频率合成器件。DDS是产生高精度、快速变换频率、输出波形失真小的优先选用技术。DDS以稳定度高的参考时钟位参考源,通过精密的相位累加器和数字信号处理,通过高速D/A变换器产生所需的数字波形(通常是正弦波形),这个数字波经过一个模拟滤波器后,得到最终的模拟信号波形。DDS系统一个显著的特点就是在数字处理器的控制下能够精确而快速地处理频率和相位。除此之外,DDS的固有特性还有:相当好的频率和相位分辨率(频率的可控范围达uHz级,相位控制小于0.09),能够进行快速的信号变换(输出DAC的转换速率300百万次/秒

8、)。这些特性使DDS在军事雷达和通信系统中应用日益广泛。其实,以前DDS价格昂贵、功耗大(达watt级)、DAC器件转换速率不高,应用受到一定限制,因此只用于高端设备和军事上。随着数字技术和半导体工业的发展,DDS芯片嫩集成包括高速DAC器件在内的部件,其功耗也降低到mW级(AD9850在3.3v时功耗仅为155mW),功能增加了,价格便宜了。因此,DDS也获得了广泛的应用:现代电子器件、通信技术、无线、PCS/PCN系统、雷达、卫星通信、医学成像等领域。1.2DDS的特点1、 输出分辨率高:只要相位累加器的位宽足够大,参考时钟频率足够高,则分辨率可以很高,AD9850(参考时钟频率fc=12

9、5MHz)的相位累加器为32位,分辨率0.03Hz;AD9830(参考时钟频率fc=50MHz)的相位累加器为32位,分辨率0.012Hz;AD9852(参考时钟频率fc=300MHz)的相位累加器为48位,分辨率。2、 输出频率变换时间短:整片DDS合成器的濒临变换时间主要是DDS的数字处理延迟,通常为几十个ns(AD9850最小43ns)。3、 调频范围大:整片的DDS合成器是不受稳定性的影响的,在整个Nyquist频率范围内是可调的。4、 相位噪声低:由于数字正弦信号的相位与时间成线形关系,整片的DDS输出的相位噪声比它的参考时钟源的相位噪声小。5、 体积小、集成度高:整片的DDS封装成

10、小面积芯片,因而占板面积小得多。6、 功耗小:整片的DDS的功耗比早期的离散型DDS要小,例如AD9850在3.3V功耗为155mW,以100MHz为参考时钟,产生一个40MHz的信号。7、 设计方便:整片DDS包括了信号D/A变换器,在系统设计时易于实现,而且现在的DDS不再需要专门的射频设计,简单的数字控制减少了硬件的复杂性。1.3选题的意义在电子行业的基础设施和制造等领域以及学校有关电子领域的实验设备中,函数发生器都是有效的通用仪器。它可以生成不同频率和幅度的大量信号,用来评估新电路的运行情况,代替时钟信号,对新产品进行制造测试,及用于许多其它用途。自第一部正弦波发生器问世以来,函数发生

11、器的设计已经发生了多次演进,在当前数字领域中,大多数新型函数发生器正采用直接数字合成(DDS)技术。DDS在大部分操作中使用数字电路,从而提供了数字操作拥有的许多优势。由于信号只在合成的最后阶段转换到模拟域中,如果在信号源的研制中引用DDS技术会在多个方面降低了函数发生器的复杂度,提高了函数发生器的稳定性。DDS的主要优点之一是输出信号的频率精度可以达到作为发生器参考信号使用的晶体控制振荡器的水平。如果想实现更高的精度,也可以采用函数发生器本身的温度补偿晶体振荡器产生。这些信号可以提供高于0.1PPM的频率精度。在许多情况下,函数发生器还可以把频率锁定到外部实验室频率参考源上,从而生成超高精度

12、的信号。在许多实验室工作台上,另一部仪器如频率计数器可以提供最精确的恒温器控制的时钟振荡器,其输出参考信号可以作为DDS函数发生器的参考信号使用。在其它高精度测量实验室中,将通过在每个工作台上探测10 MHz标准频率参考信号,以实现这一目的。根据数字电路的特点,DDS电路可以锁定在这一频率,从而提供与参考标准一样精确的信号。DDS的第二个优点与第一个优点相关:DDS发生器可以生成非常高的频率精度。DDS信号发生器的数字电路可以实现与数字电路相同的频率精度。如果DDS电路有一个48位计数器,它可以提供高达48位的频率分辨率,而且目前,某些DDS合成器使用了位数更多及分辨率更高的计数器。这种高分辨

13、率意味着使用DDS函数发生器能够准确地生成希望的输出频率,同时这还意味着发生器可以非常精确地改变频率。特别适合在通信、海量存储和类似应用中评估定时电路。目前能够生成几十M H z、分辨率为1mHz的DDS发生器并不少见。由于DDS器件生成的所有的波形都是以数字方式生成,因此函数发生器中的调制功能、扫描功能和突发生成功能都受到数字控制,并可以以非常高的精度进行设置。不仅可以精确地设置/改变频率和定时,还可以精确地设置/改变相位和幅度。DDS的第三个优点是如果拥有RAM波形存储器,那么DDS函数发生器可以重现几乎任何波形。DDS发生器通过播放存储器中存储的波形来运行。如果存储器是只读存储器,那么只

14、能生成ROM中存储的波形。一般来说,每个函数发生器中都会内置正弦波、方波、三角波和类似的波形。但是对于占空比为10%的方波,或对称性为58%的三角波(而不是50%),仅带有只读存储器的DDS发生器则无法实现。不过如果DDS电路有存储波形的RAM,那么控制器电路可以把任何波形写入RAM,并通过合成器重放波形。因此,研制开发DDS技术信号发生器的功能要远远超过传统函数发生器。对称性可变的波形现在已是标配功能,另外还可以内置各种不常见的波形,如指数上升和下降型波形或正弦脉冲型波形等。把这种R A M概念再推进一步假设工程师需要测试独有的某个特定波形,最新的函数发生器可以把客户指定的波形加载到DDS引

15、擎的RAM中,由合成器进行播放。这为函数发生器提供了生成任意波形的额外功能。这种功能特别有用,并能得到包括Matlab、MathCad、Excel和类似的软件文件格式及示波器波形捕获文件等多种波形读取软件的支持。这种频率合成技术(DDS)具有频率转换速度快、频率分辨率高、转换频率时间和相位连续以及可灵活产生多种信号等优点,以AD9851为例,它的频率分辨率可以达到0.04Hz,输出频率变换时间最小43ns。还具有体积小、控制灵活、即时的频率转换、成本低、功耗小等优点。利用DDS合成的频率源是目前很高级的技术,研制和开发基于DDS技术的信号源无论是在理论方面还是在实验室设备更新改造方面都具有很重要的意义。第二章DDS原理及其实现频率合成信号发生器是科研、通信系统、教学实验以及各种电子测量技术中一种信号源。目前国内低频信号源通常采用电桥/

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号