空调系统有限状态自动机论文

上传人:s9****2 文档编号:561819365 上传时间:2023-07-02 格式:DOCX 页数:24 大小:318.37KB
返回 下载 相关 举报
空调系统有限状态自动机论文_第1页
第1页 / 共24页
空调系统有限状态自动机论文_第2页
第2页 / 共24页
空调系统有限状态自动机论文_第3页
第3页 / 共24页
空调系统有限状态自动机论文_第4页
第4页 / 共24页
空调系统有限状态自动机论文_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《空调系统有限状态自动机论文》由会员分享,可在线阅读,更多相关《空调系统有限状态自动机论文(24页珍藏版)》请在金锄头文库上搜索。

1、IC课程设计报告空调系统有限状态自动机错误!未定义书签。 错误!未定义书签。 错误!未定义书签。 错误!未定义书签。 错误!未定义书签。1原理与系统设计2模块设计2. 1时序控制模块.3综合后的整体电路图4源码与注释5结论6心得体会与建议参考文献11附录101原理与系统设计首先是以CP脉冲为时基,设置为100ms,延迟时间和空调工作的上限时间 都该时基的整数倍。程序中涉及的变量有 temp, elk, rst, state,heat,cool,x,m,n,error。程序设计有三个输入,temp, elk, rst。其中temp是采样环境的状态,是一个 二位的输入,若采样为00,即temp则输

2、出state为just _ringht, 01则输出state 为too_hot, 10则输出为too_cold, 11为无效状态,实际中是不存在的。elk是 CP脉冲,rst是复位键。x, m,n是中间变量,在状态为just _ringht的时候heat,cool 就为00;当状态为too_hot的时候就开始制冷cool置1;当状态为too_cold的时 候就开始加热heat置1。为防止温度变化导致压缩机频繁切换,每次状态发生转换的时候执行相应操 作产生一定迟滞时间(约为1s)。作为安全考虑,当too_hot, too_cold持续了一个小时的时候说明cool和hot 也相应的执行了一个小时

3、的时间,此时error置1,报错,同时heat和cool置0, 标志系统故障,系统停止工作。主体系统状态转换设计示意图如下::2 模块设计在设计过程中,首先进行了主体状态转换模块设计,再进行了包括避免频繁 转换功能和加热或制冷时间过长停机功能的总体模块设计。设计过程中使用VHDL语言对各模块进行编程,波形仿真过程使用Max+plusII 10.2,使用LeonardoSpectrum进行综合。21状态转换控制模块2. 11模块示意图elkheat2temp /状态转换Cool/控制模块State/rst/22. 1. 2输入输出描述输入:elk.接入系统时钟,作为系统时基。Temp,两位的环境

4、采样信号,temp_high为低位,temp_low为高位。00 表示 temp_low-0 并且 temp_high-O, 01 表示 temp_low-0 并 t emp_high=1, 10 表示 t emp_low-1 并且 t emp_high-0rst ,输出:State,复位信号,低电平有效。rst=0时,系统复位。两位状态机状态变量,代表当前机器状态。State-00表示系 统处于Just_Right状态,State=01表示系统处于too_hot状 态,S tat e=10表示系统处于to o_cold。Hea t.加热操作标志,Heat=1制热。Cool,制冷操作标志,Co

5、ol=1制冷。2. 1. 3波形仿真波形仿真一:Name:Value:Lrst110 elk0heat.0cool0tempH 0stateH 0Ref: 0.0nsEE Time:Intendl: 2。山l0.0ns1.0us2.0us3.Ous4.Ous5.Ous6.0usZ.Ous8.Ous9.Ousr1!I I|I门 1 X Q )T2 6 11* o )2xr波形仿真二(主复位):Ref: |9眩Time: |O-DnsInterval:Name-ValueI.Olis 2.0us3.Ous4.Ous 5.0ns6.0ns7.0us8.Ous 9.Ous 10.Ous 11.Ous由

6、仿真波形可以看出: 当temp=01 时,表示too_hot, Cool=l, State=01 系统制冷。当temp=10时, 表示 too_cold , Heat=1,State=10 系统制热。当 temp=00 时,表示 Just_Right,Cool=0,Heat=0,State=00,系统既不制热也不制冷。 当rst=0时,复位信号有效,系统复位。2. 1. 5寄存器传输级电路原理图22总体模块设计2. 2. 1模块示意图22. 2输入输出描述输入:elk.接入系统时钟,作为系统时基。Temp,两位的环境采样信号,temp_high为低位,temp_low为高位。00 表示 tem

7、p_low-0 并且 temp_high-O, 01 表示 temp_low-0 并 t emp_high=1, 10 表示 t emp_low-1 并且 t emp_high-0rst ,输出:State,复位信号,低电平有效。rst=0时,系统复位。两位状态机状态变量,代表当前机器状态。State-00表示系 统处于Just_Right状态,State=01表示系统处于too_hot状 态,S tat e=10表示系统处于to o_cold。Hea t.加热操作标志,Heat=1制热。Cool,制冷操作标志,Cool=1制冷。Error,系统故障停机标志量,当系统长时间连续工作时,认为出现

8、系统故障,系统停机error=1,正常状态下error=0。elkrst总体模块temp2/2/f /error HeatCoolState2. 2. 3波形仿真波形仿真一(迟滞1):Ret11+ | + | Time:Interval:Na niie:r.Value:0.0ns1.0us2.0us3.Ous4.Ous5.Ous6.0iiiiiiis7.0us8.Ous9.Ous10.Ous11.Ous12.0u:iiiiiirst1dlA elk0heat0error0cool0tempH 0NNNNNNNNNNNNNNNNNNNNNNNNNNNNstateH 0oXJ;波形仿真二(迟滞2)

9、:13.7US1* 1*1 Time:15.4nsInterval:-13.6846usRef:Nmme:V 日 lue:古 1-Cjiis 2-Qlis 3-Qiis 4_Qijs 5_qijs 6_Cjiis了一 Cju 岭8_Cjiis 9_Qijs 10_piJS TI-pLis12 一卩 u:rst elk heat110error0cool1tempH 01 :H: 1 x om二;stateH 1NNNNNNNNNNNNHHHHNNNHHHNNNNNNNNNNNNNHHHHNNHHHHNKHNNNHHNNNNNNJ波形仿真三(一小时停机且报错):Ref:597.3 us|1,|

10、Time:7.200928msInterval:6.603628msNamie:左即s7.201msi7.202miSi7.203msi7.204msi7.205msi7.206nirst1elk1heat1cool0峠/ error0tempH22stateH22n15.=0H 0B9Axzooooooooooooooc8C9Fm15.OH 00000000波形仿真四(复位功能):totervai: |1_25igijsRef: |D828ms| VH Time: |lMn)0281msName:rst elk heat.E error-E COOltempstate2. 2. 4时序、逻辑

11、分析 由仿真波形一可以看出:在temp由0变为1时,状态并未立刻改变,而是经 过一定的迟滞量后状态才改变,迟滞量为16个elk的时间。 由仿真波形二可以看出:当采用信号的值频繁变换时,状态并未随其频繁转换。当采样信号维持一个值超过16个elk时间时,状态才改变。 由仿真波形三可以看出:当Hea t持续为1的时间达到1小时(8C9F个elk) 时,error=1提示出错,同时使Heat=0、Cool=0,系统停机。 由仿真波形四可以看出:当rst=O时,复位信号有效,系统复位。2. 2. 5寄存器传输级电路原理图st nV罢nV云14 nyk.-Jv immp 二_ nlbdmg 4咅【一且困

12、dmol口dmZi:-:47bd645ozQjnspnd售bd351bdr.lEgM1441.iz.7口3.m37上V独i-:*-規Iass.门产e lo_sIML* 三一uli!I普【彦壬 mn 16u二苗豆 心EH1.-.1 0-n-二15 口t.o一TIt-heat!_%口3 综合后的整体电路图4源码与注释module air1 (temp, clk, rst, state,heat,cool,x,m,n,error); input clk, rst; 时钟信号,复位信号 input 1:0 temp;采样状况,为两位信号迟滞量定时器状态输出00 表示 just_right,01 表示

13、too_hot,10 表示 too_cold 持续制冷、制热计数器各变量/00 表示 temp_low=0 并且 temp_high=0, 01 表示 temp_low=0 并且 temp_high=1, 10 表示 temp_low=1 并且 temp_high=0 output heat,cool,error;压缩机输出制冷、制热,以及报错信号 output 3:0 x;output 1:0 state;output 15:0 m,n;reg 1:0state;reg heat,cool,error;reg 3:0x;reg 15:0 m,n;parameter ST0=2b00,ST1=2b01; 定义三个状态parameter ST2=2b1

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号