EDA模可变计数器设计.doc

上传人:新** 文档编号:560899683 上传时间:2023-07-20 格式:DOC 页数:8 大小:321.51KB
返回 下载 相关 举报
EDA模可变计数器设计.doc_第1页
第1页 / 共8页
EDA模可变计数器设计.doc_第2页
第2页 / 共8页
EDA模可变计数器设计.doc_第3页
第3页 / 共8页
EDA模可变计数器设计.doc_第4页
第4页 / 共8页
EDA模可变计数器设计.doc_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《EDA模可变计数器设计.doc》由会员分享,可在线阅读,更多相关《EDA模可变计数器设计.doc(8页珍藏版)》请在金锄头文库上搜索。

1、 南昌大学实验报告学生姓名: 邱永洪 学 号: 6100210026 专业班级: 中兴101班 实验类型: 验证 综合 设计 创新 实验日期: 2012.10. 19 实验二 模可变计数器的设计一、实验目的1、学习设计脉冲分频程序的设计,掌握分频的作用。2、学会利用控制位M来控制计数器的模值。二、实验内容与要求1、计设置一位控制模的位M,要求M=0,模23计数;当M=1,模109计数;2、计数结果用三位数码管显示,显示BCD码;3、利用Quartus软件实现波形仿真;4、应用实验箱验证此计数器的功能。三、实验思路1、要求分别实现模23和模109的计数,因些我分别用GW、SW、 BW 代表个位,

2、十位和百位。还有一个控制模的位M,当M为0时实现模23计数,只用到GW和SW分别为个位和十位;当M为1时实现模109计数,用GW、SW、 BW 分别为个位,十位和百位计数。2、由于要求用三个数码管显示,由于每次只能只能选中一个数码管显示管,因此我使用了数码管的动态扫描来实现视觉上多个数码管显示管同时显示的效果,这个步骤中分频计的作用很重要。我使用了一个可变的R作为分频计的初值。3、计数时分两种情况1)、当M为0时,实现模23计数,当个位为9则向十位进位,并个位清0,当个位小于9,则个位自身加1;计数到22时当下一个时钟上升沿到来时就清0重计。2)、当M为1时,实现模109计数,当个位为9则向十

3、位进位,并个位清0,当个位小于9,则个位自身加1;当个位和十位均为9时,就向百位进位,同时个位和十位自身清0;计数到108时当下一个时钟上升沿到来时就清0重计。四、实验程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count ISPORT(CLK,M,EN,RST:IN STD_LOGIC; R:IN STD_LOGIC_VECTOR(7 DOWNTO 0); -分频计数初值 sel0,sel1,sel2:buffer STD_LOGIC; SG:OUT STD_LOGIC_V

4、ECTOR(7 DOWNTO 0); -数码管八段 CLK1:buffer STD_LOGIC; GW,SW,BW: buffer STD_LOGIC_VECTOR(3 DOWNTO 0);-计数器的个,十,百位 COUT:OUT STD_LOGIC;-溢出信号 SEL:OUT STD_LOGIC_VECTOR(7 DOWNTO 0) -位选信号 ); END count;ARCHITECTURE behav OF count ISSIGNAL CNT,X : STD_LOGIC_VECTOR(7 DOWNTO 0); -数码管分频计数 SIGNAL J : STD_LOGIC_VECTOR(

5、11 DOWNTO 0); -12位BCD计数值 SIGNAL CNT8: STD_LOGIC_VECTOR(2 DOWNTO 0); -数码管选择 SIGNAL A : STD_LOGIC_VECTOR(3 DOWNTO 0); -数码管显示值 SIGNAL MODEL : STD_LOGIC_VECTOR(11 DOWNTO 0); -模长信号BEGINP1:PROCESS(CLK,R) -进程P1分出的频率用来数码管的位 BEGIN X=R; IF CLKEVENT AND CLK = 1 THEN CNT=CNT+1; IF CNT=X-1 THEN CLK1=1;CNT=000000

6、00;-R分频:R是一个八位的二进制数 ELSE CLK1MODELMODEL=000100001000;-109END CASE; GW=J(3 downto 0); SW=J(7 downto 4); BW=J(11 downto 8); IF RST=1 THEN J0); ELSIF CLK1EVENT AND CLK1=1 THEN IF EN=1 THEN IF JMODEL THEN IF GW=9 THEN -个位为9时加7调整 J=J+7; IF SW=9 THEN -十位为9时加103调整 J=J+103; END IF; ELSE J=J+1; END IF; ELSE

7、J0); END IF; END IF; END IF;END PROCESS;P3:PROCESS( CLK) -数码管控制 BEGIN IF CLKEVENT AND CLK=1 THEN IF CNT8010 THEN CNT8 =CNT8+1; ELSE CNT80); END IF; END IF;SEL(0)=sel0 ;SEL(1)=sel1 ;SEL(2) sel2=0;sel1=1;sel0=0;A sel2=0;sel1=0;sel0=1;A sel2=0;sel1=0;sel0=0;ANULL; END CASE; END PROCESS ; P4:PROCESS(A)

8、-七段译码程序BEGIN CASE A IS WHEN 0000 =SGSGSGSGSGSGSGSGSGSGNULL;END CASE;END PROCESS; COUT device-引脚锁定,参照下载实验板1K100的引脚号说明书,选择适当的引脚2.引脚锁定后,保存,必须重新进行一次全程编译,编译通过后才能编程下载。3、编程下载,用下载线将计算机并口和试验箱上的JTAG口接起来,接通电源。选择ToolsProgrammer菜单,打开programmer窗口。在mode中选中JTAG,将Program/Configure下的笑方框选中4在开始编程之前,必须正确设置编程硬件。点击“Hardwa

9、re Setup”按钮,打开硬件设置口。点击“Add Hardware”打开硬件添加窗口,在“Hardware type”下拉框中选择“ByteBlasterMV or ByteBlaster II”,“Port”下拉框中选择“LPT1”,点击OK按钮确认,关闭Hardware Setup窗口,完成硬件设置。5、点击“Start”按钮,开始编程下载 图(14)下载成功七、硬件测试结果下载成功后,开始在实验箱上检验结果,我设置的CLK=1KHz (1)clk为时钟信号,由时钟信号的上升沿触发计数 (2)m为模值输入端,当其变化时,计数容量相应发生变化; (3)rest为清零控制端,当其为高电平时清零; (4)en为使能控制端,当其为高电平时正常计数,当其为低电平时暂停计数;(5)、当按下S3时,使M=0数码管计数到22后开始清0,实现了模23计数;再次按下S3,M为1时,数码管计数到108后,开始清0,实现了模109计数。而且当数码管计数到45,我把电平2置为低电平,即M为0时,个位,十位都清0,转向模22

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号