电子设计自动化EDA

上传人:re****.1 文档编号:559356807 上传时间:2024-02-26 格式:DOC 页数:11 大小:59KB
返回 下载 相关 举报
电子设计自动化EDA_第1页
第1页 / 共11页
电子设计自动化EDA_第2页
第2页 / 共11页
电子设计自动化EDA_第3页
第3页 / 共11页
电子设计自动化EDA_第4页
第4页 / 共11页
电子设计自动化EDA_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《电子设计自动化EDA》由会员分享,可在线阅读,更多相关《电子设计自动化EDA(11页珍藏版)》请在金锄头文库上搜索。

1、-edaEDA在通信行业电信里的另一个解释是企业数据架构,EDA给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进展了框架和层级的划分。 EDA是电子设计自动化Electronic Design Automation的缩写,在20世纪60年代中期从计算机辅助设计CAD、计算机辅助制造CAM、计算机辅助测试CAT和计算机辅助工程CAE的概念开展而来的。目录一、什么是EDA二 、设计方法与技巧1. 方法2. 技巧三、EDA工具软件1. 分类四、EDA工具软件厂商三大巨头五、化合物六、探索性数据分析七、分布估计算法一、什么是EDA二 、设计方法与技巧1. 方法2. 技巧三、EDA工具软件

2、1. 分类四、EDA工具软件厂商三大巨头一、什么是EDA20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进展了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件如CPLD、FPGA的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件构造和工作方式进展重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速开展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL

3、完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开场设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC幅员或PCB幅员的整个过程的计算机上自动处理完成。 现在对EDA的概念或畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使

4、用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。 EDA技术的概念EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进展电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开场设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC幅员或PCB幅员的整个过程的计算机上自动处理完成。 现在对EDA的概念或畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学

5、部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。 EDA设计可分为系统级、电路级和物理实现级。 二 、设计方法与技巧方法(1) 前端设计(系统建模RTL 级描述)后端设计(FPGAASIC)系统建模 (2) IP 复用 (3) 前端设计 (4) 系统描述:建立系统的数学模型。 (5) 功能描述:描述系统的行为或各子模块之间的数据流图。 (6) 逻辑设计:将系统功能构造化,通常以文本、原理图、逻辑图、布尔表达式来表示设计结果。 (7) 仿真:包括功能仿真和时序仿真,主要验证系统功

6、能的正确性及时序特性。 技巧1密码锁输入电路KEYB 0ARD.VHD中对各种分频信号/信号序列的设计有独到之处。该设计中,利用一个自由计数器来产生各种需要的频率,也就是先建立一个N位计数器,N的大小根据电路的需求决定。N的值越大,电路可以除频的次数就越多,这样就可以获得更大的频率变化,以便提供多种不同频率的时钟信号。假设输入时钟为CLK,N位计数器的输出为QN-1,0,则Q0为CLK的2分频脉冲信号,Q1为CLK的4分频脉冲信号,Q2为CLK的8分频脉冲信号,QN-1为CLK的2N分频脉冲信号;Q5 DOWNT04取得的是一个脉冲波形序列,其值是依00-01-10-11-00-01周期性变化

7、的,其变化频率为CLK的25分频,也就是32分频。我们利用以上规律即可得到各种我们所需要频率的信号或信号序列。 2键盘输入去抖电路的设计程序DEBOUNCING.VHD在实际系统的开发中有较好的参考价值。 3密码锁控制电路CTRL,VHD中对于数据的更新及移位方法比拟好。程序中使用语句“ACC =ACC11 DOWNT0 0&DATA_N非常简洁地同时实现了ACC中的低4位用DATA_N进展更新,而高12位用ACC中的原来的低12位左移而来的处理。 4在密码锁输入电路等模块的程序的设计和仿真中,为了便于观察一些中间结果,在程序中增加了一些观测输出点。这一设计技巧,对于较大的程序或多进程程序的设

8、计非常重要。同时在仿真时,为了便于观测全局结果,降低了分频常数。同理,在进展程序仿真时,对于程序中数目较大的分频/计数/计时常数的修改是非常必要的。 三、EDA工具软件分类EDA工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。 目前进入我国并具有广泛影响的EDA软件是系统设计软件辅助类和可编程芯片辅助设计软件:Protel、Altium Designer、PSPICE、multiSIM10(原EWB的最新版本)、OrCAD、PCAD、LSIIogic、MicroSim、ISE、modelsim、Matlab等等。这些工具都有较强的功能,一般可用于几个方面,

9、例如很多软件都可以进展电路设计与仿真,同进还可以进展PCB自动布局布线,可输出多种网表文件与第三方软件接口。 下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进展简单介绍。 3.1 电子电路设计与仿真工具 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进展实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢.结论是有,这就是电路设计与仿真

10、技术。 说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢.因为美国在设计时大局部采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经历参数。这样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进展试验,哪里不合理有问题就改动那里,直至最正确效果,效率自然高了,最后只要再在实际环境中测试几次找

11、找缺乏就可以定型了,从他们的波音747到F16都是采用的这种方法。空气动力学方面的数据由资深专家提供,软件开发商是IBM,飞行器设计工程师只需利用仿真软件在计算机平台上进展各种仿真调试工作即可。同样,他们其他的很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具体软件容不同。其实,他们创造第一代计算机时就是这个目的当初是为了高效率设计大炮和相关炮弹以及其他计算量大的设计。 电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright S

12、park等。下面简单介绍前三个软件。 SPICESimulation Program with Integrated Circuit Emphasis:是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICEPersonal-SPICE。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国普遍使用。最新推出了PSPICE9.1版本。它可以进展各种各样的电路仿真、鼓励建立、温度与噪声分析、模拟控制、波

13、形输出、数据输出、并在同一窗口同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进展仿真,都可以得到准确的仿真结果,并可以自行建立元器件及元器件库。 multiSIMEWB的最新版本软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还

14、提供了万用表、信号发生器、瓦特表、双踪示波器对于multiSIM7还具有四踪示波器、波特仪相当实际中的扫频仪、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模准确的元器件,比方电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪相当于真实环境中的晶体管特性图示仪和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑

15、平笔等。同时它还能进展VHDL仿真和Verilog HDL仿真。 MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进展分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C+代码等功能。MATLAB产品族具有以下功能:数据分析;数值和符号计算、工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB产品族被广泛应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。开放式的构造使MATLAB产品族很容易针对特定的需求进展扩大,从而在不断深化对问题的认识同时,提高自身的竞争力。 3.2 PCB设计软件 PCBPrinted-Circuit Board设计软件种类很多,如Protel、Altium Designer、OrCAD、Viewlogic、PowerPCB、Cadence PSD、MentorGraphices的E*pedition PCB、Zuken CadStart、Winboard/Windraft/Ive*-SPICE、PCB Studio、TANGO、PCBWizard与LiveWire配套的PCB制作软件包、ultiBOARD

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号