汽车尾灯控制器的设计.doc

上传人:M****1 文档编号:558881309 上传时间:2023-12-22 格式:DOC 页数:15 大小:235.85KB
返回 下载 相关 举报
汽车尾灯控制器的设计.doc_第1页
第1页 / 共15页
汽车尾灯控制器的设计.doc_第2页
第2页 / 共15页
汽车尾灯控制器的设计.doc_第3页
第3页 / 共15页
汽车尾灯控制器的设计.doc_第4页
第4页 / 共15页
汽车尾灯控制器的设计.doc_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《汽车尾灯控制器的设计.doc》由会员分享,可在线阅读,更多相关《汽车尾灯控制器的设计.doc(15页珍藏版)》请在金锄头文库上搜索。

1、 汽车尾灯控制器的设计学院:中国地质大学机电学院专业:测控技术与仪器姓名:xxx学号:xxx指导老师:杨越2012年4月21日 目 录1.引 言21.1设计的目的21.2设计的基本内容21.3 EDA的介绍21.3.1 EDA技术的概念21.3.2 EDA技术的特点21.3.3 EDA设计流程31.4硬件描述语言(VHDL)31.4.1 VHDL的介绍31.4.2 VHDL语言的特点32.总体设计42.1需求分析42.2汽车尾灯控制器的工作原理42.3 汽车运行状态表和总体框图53.详细设计53.1各组成模块53.2时钟分频模块63.3 汽车尾灯主控模块63.4左边灯控制模块73.5右边灯控制

2、模块94.系统仿真与调试114.1分频模块仿真及分析114.2汽车尾灯主控模块仿真及分析114.3左边灯控制模块仿真及分析124.4右边灯控制模块仿真及分析124.5整个系统仿真及分析13总结14参考文献141.引 言1.1设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。1.2

3、设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。1.3 EDA的介绍 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(C

4、AE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。1.3.2 EDA技术的特点 利用EDA技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。1.3.3 ED

5、A设计流程典型的EDA设计流程如下: 1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与

6、利用、引脚锁定、设计的布尔方程描述情况。 6、功能仿真和时序仿真。 7、下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。 8、 硬件仿真与测试。1.4硬件描述语言(VHDL)1.4.1 VHDL的介绍 VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称

7、设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本。1.4.2 VHDL语言的特点1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.VHD

8、L区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。2.总体设计2.1需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮。2.汽车右转时,按R0R0R1R0R1R2全灭R0次序循环亮,循环周期为2 秒。3.汽车左转时,按L0L0L1L0L1L2全灭L0次序循环亮,循环周期为2 秒。4.汽车刹车时,左右两侧的指示灯同时全亮。5.汽车夜间行驶时,左右两侧的指示灯L0和R0同时一直亮,供照明使用 。2.2汽车尾灯控制器的工作原理 汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯按R0R0R1R

9、0R1R2全灭R0次序循环亮,循环周期为2 秒;当汽车向左侧转弯时,汽车左侧的指示灯按L0L0L1L0L1L2全灭L0次序循环亮,循环周期为2 秒;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,左右两侧的指示灯L0和R0同时一直亮,供照明使用。通过设置系统的输入信号:系统时钟信号CLK16HZ,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT,故障信号TROUBLE和系统的输出信号:汽车左侧3盏指示灯L0、L1、L2和汽车右侧3盏指示灯R0、R1、R2实现以上功能。系统的整体组装设计原理如图2-1所示

10、。 图2-1 系统的整体组装设计原理图2.3 汽车运行状态表汽车尾灯和汽车运行状态表如表2.1所示。开关控制汽车运行状态左转尾灯右转尾灯L R T B NL2 L1 L0R0 R1 R20 0 0 0 0白天正常运行 灯灭0 0 0 0 1夜间正常运行 L0和R0一直亮,供照明使用0 0 0 1 0白天刹车 左右两侧的指示灯同时全亮0 0 0 1 1夜间刹车 左右两侧的指示灯同时全亮0 0 1 0 0白天故障 L2和R2同时以1HZ的频率闪烁0 0 1 0 1夜间故障L0和R0一直亮;L2和R2同时以1HZ的频率闪烁0 1 0 0 0白天右转弯R0R0R1R0R1R2全灭R0次序循环亮,循环周

11、期为2 秒0 1 0 0 1夜间右转弯L0和R0一直亮,供照明使用;R2以1HZ的频率闪烁0 1 0 1 0白天刹车右转弯R2以1HZ的频率闪烁,其他指示灯同时全亮0 1 0 1 1夜间刹车右转弯R2以1HZ的频率闪烁,其他指示灯同时全亮1 0 0 0 0白天左转弯L0L0L1L0L1L2全灭L0次序循环亮,循环周期为2 秒1 0 0 0 1夜间左转弯L0和R0一直亮,供照明使用;L2以1HZ的频率闪烁1 0 0 1 0白天刹车左转弯L2以1HZ的频率闪烁,其他指示灯同时全亮1 0 0 1 1夜间刹车左转弯L2以1HZ的频率闪烁,其他指示灯同时全亮 表2.1 汽车尾灯和汽车运行状态表3.详细设

12、计3.1各组成模块 实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。3.2时钟分频模块整个时钟分频模块的工作框图如图3.1所示。 图3.1时钟分频模块工作框图时钟分频模块VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SZ is port(CLK16HZ:in std_logic; CLK1HZ:out std_logic);end;

13、architecture ART of SZ is signal COUNT:std_logic_vector(7 downto 0); begin process(CLK16HZ) begin if CLK16HZevent and CLK16HZ=1 then if(COUNT=11111111)then COUNT=00000000; else COUNT=COUNT+1; end if; end if; end process; CLK1HZ=COUNT(3);end ART;3.3 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.2所示 图3.2 主控模块工作框图汽车尾灯主控模块VHDL源程序:library ieee;use ieee.std_logic_1164.all;entity CTRL isport(LEFT,RIGHT,BRAKE,NIGHT,TROUBLE:in std_logic; LP,RP,LR,BRAKE_LED,NIGHT_LED:out std_logic);end;architecture ART of CTRL isbegin NIGHT_LED=NIGHT; BRAKE_LED=BRAKE; process(LEFT,RIGHT,TROUBLE) variable T

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号