河南科技大学出租车计费系统课程设计.doc

上传人:壹****1 文档编号:558309096 上传时间:2022-09-19 格式:DOC 页数:19 大小:799KB
返回 下载 相关 举报
河南科技大学出租车计费系统课程设计.doc_第1页
第1页 / 共19页
河南科技大学出租车计费系统课程设计.doc_第2页
第2页 / 共19页
河南科技大学出租车计费系统课程设计.doc_第3页
第3页 / 共19页
河南科技大学出租车计费系统课程设计.doc_第4页
第4页 / 共19页
河南科技大学出租车计费系统课程设计.doc_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《河南科技大学出租车计费系统课程设计.doc》由会员分享,可在线阅读,更多相关《河南科技大学出租车计费系统课程设计.doc(19页珍藏版)》请在金锄头文库上搜索。

1、河南科技大学课 程 设 计 说 明 书课程名称 电子设计自动化课程设计 题 目 出租车计费系统设计 学 院 电信学院 班 级 电信科083班 学生姓名 陈真淮 指导教师 张雷鸣、王勇 日 期 2010年9月20日 课程设计任务书课程设计名称 电子设计自动化课程设计 学生姓名 陈真淮 专业班级 电信科083设计题目 出租车计费系统设计 一、 课程设计目的1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力;2、结合理论知识,考察阅读参考资料、文献、手册的能力;3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;4、锻炼

2、撰写研究报告、研究论文的能力;5、通过本实践环节,培养科学和严谨的工作作风。二、 设计内容、技术条件和要求1、 能够实现计费功能:费用的计算是按行驶里程收费,设出租车的起价为5.00元,当里程小于3km时,按起价计算收费;当里程大于3km时每公里按1.3元计费。等待累计时间超过2min,按每分钟1.5元计费。所以总费用按下式计算:总费用起价费(里程3km)里程单价等候时间等候单价2、能够实现显示功能: 显示汽车行驶里程:用四位数字显示,显示方式为“XXXX”,单位为km。计程范围为099km,计程分辨率为1km。 显示等候时间:用两位数字显示分钟,显示方式为“XX”。计时范围为059min,计

3、时分辨率为1min。 显示总费用:用四位数字显示,显示方式为“XXX.X”,单位为元。计价范围为999.9元,计价分辨率为0.1元。三、时间进度安排1周: (1) 完成设计准备,确定实施方案;(2) 完成电路文件的输入和编译;(3) 完成功能仿真。2周:( (1) 完成文件至器件的下载,并进行硬件验证;(2) 撰写设计说明书。四、主要参考文献(1)谭会生、瞿遂春,EDA技术综合应用实例与分析,西安电子科技大学出版社,2004(2)曹昕燕、周凤臣等,EDA技术实验与课程设计,清华大学出版社,2006指导教师签字: 2010年9月5日目录一、设计任务与要求3二、设计背景3三、系统设计方案43.1

4、设计原理.43.2 系统总体框图.5四、模块设计及仿真.64.1 分频模块.64.2 控制模块.74.3 计量模块.74.4 计费模块.84.5 顶层例化模块.9五、硬件验证.9 5.1 实验过程.9 5.1 验证结果.11六、总结与心得12七、参考文献.12附录:VHDL源程序.13一、设计任务与要求(1)、能够实现计费功能 费用的计算是按行驶里程收费,设出租车的起价为5.00元,当里程小于3km时,按起价计算收费;当里程大于3km时每公里按1.3元计费。等待累计时间超过2min,按每分钟1.5元计费。所以总费用按下式计算: 总费用起价费(里程3km)里程单价等候时间等候单价(2)、能够实现

5、显示功能 显示汽车行驶里程:用四位数字显示,显示方式为“XXXX”,单价为km。计程范围为099km,计程分辨率为1km。 显示等候时间:用两位数字显示分钟,显示方式为“XX”。计时范围为059min,计时分辨率为1min。 显示总费用:用四位数字显示,显示方式为“XXX.X”,单位为元。计价范围为999.9元,计价分辨率为0.1元。 二、设计背景 近年来,我国出租汽车行业迅猛发展,出租汽车已成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。它对繁荣经济、促进发展、方便群众、改善交通起到了积极作用。出租汽车对我国人民物质和文化生活影响之大、作

6、用之广是前所未有的。出租汽车行业的服务水平和程度已经成为现代化的重要标志。 出租汽车服务行业和出租汽车计价器紧密相关,因为出租汽车必须安装出租汽车计价器才能投入营运。出租汽车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。计价器是出租汽车的经营者和乘坐出租汽车的消费者之间用于公平贸易结算的工具,因而计价器量值准确与否,直接关系到经营者和消费者的经济利益。随着出租车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印、IC卡付费、语音报话、和电脑串行通信等功能,而这些与电子技术的发

7、展是分不开的。本次课程设计即是应用EDA技术来实现出租车计费系统设计和验证。EDA技术(即Electronic Design Automation技术)就是依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。它在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA)/CPLD编程下载和自动测试等技术。在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。Al

8、tera公司成产的FPGA系列芯片,以性能稳定,功能全面,价格优惠,服务完善而得到广泛应用。该公司的QUARTUSII软件设计环境的灵活性和高效性为出租车计费器的设计带来了无可比拟的好处。其丰富的界面,让设计人员可以轻松的掌握和使用。所以,在设计仿真过程中,我们采用Altera公司QUARTUS-II软件,进行编程,综合,仿真,验证,下载等各项实验步骤。在硬件验证过程中,采用以Altera公司Cyclone系列EP1C6Q240C8型号FPGA器件为核心的GW48教学实验系统来进行硬件验证。三、系统设计方案3.1 设计原理根据设计要求,可得系统的输入信号有:CLK,计价开始信号START,等待

9、信号STOP,里程脉冲信号FIN。系统得输出信号有:总费用数CHA0 CHA3,行驶距离KM0KM1,等待时间MIN0MIN1 等。所以系统的元件框图如下: 系统有两个脉冲输入信号clk_195、fin,两个控制输入开关start、stop。整个系统的控制过程为:start作为计费开始开关,当start为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin脉冲到来,进行行驶计费,此时的stop需要置为0;如需停车等待,就把stop变为高电平,并去除fin输入脉冲,进行等待计费;当乘客下车且不等待时,直接将start置为0,系统停止工作。 系统由分频模块、计量模块、计费模块和控

10、制模块四部分组成。计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分;设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1HZ的驱动信号,计费模块采用13HZ、15HZ的驱动信号;计量模块每计数一次,计费模块就实现13次或者15次计数,即为实现计时时的1.5 元/min、计程时的1.3元/km的收费。3.2 系统的总体框图由Quartus仿真得系统总体顶层框图为:四、模块设计及仿真4.1 分频模块分频模块是对系统时钟频率进行分频,分别得到15HZ,13HZ,1HZ三种频率;本设计中通过这三种不同频率的脉冲信号实现在计程车行驶、等待两种情况

11、下的不同计费。而系统时钟频率CLK=15*13Hz=195Hz。在接入实际硬件电路时,根据系统时钟频率选用的不同,会等比例地得到不同分频频率。其原理框图如下:分频模块的仿真图为:由图中的周期时间可以看出,三种输出的信号脉冲对应的频率比实现了1:13:15。4.2 控制模块本模块主要是通过两个不同的输入使能信号,对两个输入脉冲进行选择输出;使能信号是计量模块中的输出,两个输入脉冲是分频模块输出的13HZ、15HZ的脉冲;本模块实现了双脉冲的二选一。其原理框图为:控制模块的仿真波形图为:由图中可以看出,当ent0为高电平时,输出为这一时段的clk_in1; 当ent1为高电平时,输出为这一时段的c

12、lk_in2;4.3 计量模块本模块实现对于出租车在行驶和等待过程中的使能和计时功能。当行驶里程大于3KM时,本模块中en0信号变为1;当等待时间大于2min时,本模块中en1信号变为1;clk1每来一个上升沿,计量模块实现一次计数,里程数或者等待时间加1。其原理框图为:计量模块的仿真波形图为:由图可以看出,在fin脉冲到来时,k1、k0进行计数,en0在每进行一次加法计数时就输出一次高电平;当stop为高电平时,即为进入等待计时,m1、m0进行计数,en1在每进行一次计时计数的时候输出一个高电平。4.4 计费模块本模块根据输入的clk2信号变化,调节费用的计数,c0、c1、c2、c3分别表示

13、费用的显示。其原理框图为:计量模块的仿真波形图为: 由图中可以看出,随着clk2的高电平的到来,c3、c2、c1、c0逐级进行加法计数,当c0计数到九时,产生进位,c1加一;当c1计数到九时,产生进位,c2加一;当c2计数到九时,产生进位,c3加一;起步价为5元。4.5 顶层例化模块本模块用例化语句实现出租车的计费器系统设计,将各个模块组合在一起。 系统模块的仿真波形图为:由图中可以看出,当fin脉冲信号到来时,实现了行驶计费,当行驶里程超过三公里,则再按每公里1.3元计费;当stop为高电平,即进入等待计时收费;当等待时间超过2min时,每过一分钟加1.5元,即为实现每等一分钟收费1.5元。五、硬件验证 5.1 实验过程EDA课程设计中对于各个模块的设计是基础,首先要分析清楚各个模块的功能,然后分别进行编程设计、验证,然后使用VHDL语言中的元件例化语句,将各个模块组合到一起,实现总的设计功能。在出租车计费系统的4个模

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号