图像显示控制器设计报告64999308

上传人:博****1 文档编号:552745581 上传时间:2022-09-08 格式:DOCX 页数:93 大小:1.23MB
返回 下载 相关 举报
图像显示控制器设计报告64999308_第1页
第1页 / 共93页
图像显示控制器设计报告64999308_第2页
第2页 / 共93页
图像显示控制器设计报告64999308_第3页
第3页 / 共93页
图像显示控制器设计报告64999308_第4页
第4页 / 共93页
图像显示控制器设计报告64999308_第5页
第5页 / 共93页
点击查看更多>>
资源描述

《图像显示控制器设计报告64999308》由会员分享,可在线阅读,更多相关《图像显示控制器设计报告64999308(93页珍藏版)》请在金锄头文库上搜索。

1、摘 要本实验报告为VGA显示控制器设计报告。实验设计了基于VHDL描述的VGA显示控制器,通过FPGA控制CRT显示屏显示色彩和图形。完毕的功能涉及64种纯色的显示、横向和纵向彩色条幅的显示以及正方形色块的运动与控制。实验的重心放在了显示控制器的系统层面的设计,采用了自顶向下的思路进行设计,系统的核心为有限状态机。报告中给出了完整的设计思路和过程,并将系统分模块进行了具体的设计,给出了VHDL语言描述。完毕了状态机和核心模块以及系统整体的仿真验证。最后下载到实验板上测试通过。核心词: FPGA;VHDL; VGA显示控制;状态机第一部分 任务规定21.1课题规定21.2设计目的2第二部分 系统

2、设计22.1设计思路22.2系统构造设计22.2.1系统构造框图22.2.2系统逻辑功能划分22.2.3层次模块划分22.2.4模块设计图22.2.5控制器设计22.2.6状态机设计22.2.7基本模式设计22.2.8顾客模式设计22.3系统硬件语言描述22.3.1VHDL描述思路22.3.2总体电路的描述22.3.3分块电路的描述22.4系统仿真验证2第三部分 成果与分析23.1实现功能阐明23.2器件资源分析23.3VHDL设计优化23.4故障和问题分析2第四部分 总结与结论24.1实验结论24.2总结体会2第五部分 附录部分25.1元器件和仪表清单25.2VHDL源代码25.3电路图25

3、.4参照文献2第一部分 任务规定1.1课题规定设计一种 VGA 图像显示控制器。1. 显示模式为 64048060Hz 模式;2. 用拨码开关控制 R、G、B(每个2 位),使显示屏可以显示64 种纯色;3. 在显示屏上显示横向彩条信号(至少 6 种颜色);4. 在显示屏上显示纵向彩条信号(至少 8 种颜色);5. 在显示屏上显示自行设定的图形、图像等。1.2设计目的根据课题规定,实验中将目的进行了细化,论述如下:1. 设定4种显示模式:横彩模式、纵彩模式、纯色模式、顾客模式;2. 拨码开关最低两位SW1SW0控制4种模式的切换;3. 拨码开关高6位SW7SW2每两位分别控制RGB显示64种纯

4、色;4. 横向和纵向彩条均设立为8种内置的颜色;5. 顾客模式中分3种子模式:1) 子模式1是沿固定轨迹运动模式,固定图形沿水平方向运动,行运动到边界后折回到下一行继续水平运动;2) 子模式2是反弹球模式,固定图形在矩形屏幕做内直线运动,到边界后沿反射角方向反弹,周而复始;3) 子模式3是受控运动模式,使用上下左右4个按键控制固定图形在屏幕内的运动方向;4) 子模式间的切换由一种单独的按键进行控制,按键按下后顺序切换子模式。第二部分 系统设计2.1设计思路设计采用自顶向下(Top-down)的措施。第一步:系统功能分析和描述VGA显示控制器实现的重要功能是向CRT显示屏发出图形控制信号,产生相

5、应的图形显示。由此,容易得到系统构造框图,有关系统功能分析和输入输出约束,详述于2.2.1小节中。第二步:系统层次模块划分由系统功能可以将系统从逻辑上划分为输入子系统、模式检测子系统和VGA控制子系统,详述于2.2.2小节中。从系统逻辑构造出发,将系统设计为3层。顶层用于描述整个系统的功能和运营;第二层承办顶层,负责实现系统中各个独立而完整的功能部分;第三层为第二层的子模块,用于扩展第二层的功能,具体负责顾客模式的实现。每个层次可用一种或多种具体模块加以实现,层次模块划分详述于2.2.3和2.2.4小节中。控制器部分是系统的核心部分,负责协调和控制各子模块的工作。控制器设计采用有限状态机的措施

6、,详述于2.2.5小节中。第三步:硬件语言描述在前述工作的基本上,采用VHDL语言对VGA显示控制器的三个层次依次进行描述,具体描述出每个模块,生成具体电路视图。详述与2.3小节中。第四步:仿真验证通过前三步之后,系统设计基本完毕,需要对系统重要功能进行仿真验证,更进一步分析状态机、电路逻辑和时序配合等与否对的。详述于2.4小节中。2.2系统构造设计2.2.1系统构造框图CRT显示屏VGA显示控制器顾客输入接口图2.1 VGA显示控制器系统构造框图VGA显示控制器的输入是顾客控制信息,如显示模式的切换命令、图形移动的控制命令等。控制器根据顾客的输入信息,决定工作模式,这里定义为横彩模式、纵彩模

7、式、纯色模式、顾客模式。顾客模式中,还应根据顾客的输入控制图形的运动方向。颜色信息的产生、显示屏扫描同步信号等由VGA控制器产生,其输出为CRT显示屏。2.2.2系统逻辑功能划分时钟信号模式检测器输入寄存器按键输入VGA控制器CRT显示屏模式码颜色信号同步信号图2.2 VGA显示控制器系统逻辑框图如图2.2所示,系统可逻辑地划分为三个子系统:一是输入子系统,涉及输入检测和输入寄存两个功能;二是模式检测子系统,根据顾客输入决定工作模式,将模式信息传递给VGA控制器;三是VGA控制子系统,该子系统中可进一步划分出为多种模块,负责根据模式码产生相应模式的同步信号、颜色和图形信号等,从VGA接口输出到

8、CRT显示屏进行显示。2.2.3层次模块划分系统划分为三层,自顶向下分别是顶层模块、控制器子模块、顾客模式底层模块。顶层描述了整个系统的功能和运营;控制器子模块实现系统中各个独立而完整的功能部分;第三层为第二层的子模块,用于扩展第二层的功能,具体负责顾客模式的实现。每个层次可用一种或多种具体模块加以实现。VGAModeClockPixelCNTVGAColorUserModeVGAController图2.3 VGA显示控制器层次模块划分框图然后从逻辑上划分出各层次的具体模块,分述如下。模块设计图见2.2.4小节。A. 顶层模块:作用为集成子模块功能,控制子模块的连接和耦合信号。定义实体为VG

9、AController,在其中例化子模块。B. 中层模块:具体实现顶层模块的描述,涉及的功能重要是产生时钟信号,状态机的定义和状态的转移,产生像素位置信号,并根据不同的状态和像素位置产生RGB颜色信号。由此,可定义如下模块:a) VGAMode定义顶层状态机,四种状态分别为横彩模式、纵彩模式、纯色模式、顾客模式,分别定义为S0、S1、S2、S3。根据拨码开关SW1和SW0 的四种组合选择各模式,完毕状态的转移。根据目前状态选择VGAColor模块送入的多种颜色图像信号,发送给VGA接口RGB端口。输入:拨码开关SW1、SW0的值。输出:送往VGA接口的RGB颜色信号。b) Clock作分频,由

10、50MHz的板级输入得到系统所需的25MHz、100Hz和1Hz时钟信号。输入:50MHz晶振信号。输出:25MHz、100Hz、1Hz时钟信号。c) PixelCNT产生像素位置信号。为VGAColor模块产生颜色和图像作定位参照。输入:25MHz时钟信号。输出:行和列像素位置信号。d) VGASig根据行列像素位置输出VGA接口原则中定义的行列同步负脉冲信号和行列消隐信号,两种信号输入到VGA接口中可控制CRT显示屏的扫描。输入:行和列像素位置信号。输出:VGA扫描同步信号和消隐信号。e) VGAColor产生所有状态的颜色和图像信号,输出到VGAMode模块进行选择后送VGA接口。为实现

11、S3顾客模式下复杂的顾客图像输出,定义了子模块UserMode,专门负责顾客自定义颜色和图像的产生。输入:行和列像素位置信号,顾客颜色控制信号SW2SW7。输出:S0S3状态的颜色图像信号。以上模块分别由各个Component具体实现,由一种Package进行封装。C. 底层模块:考虑到顾客模式S3功能较多,仍需采用状态机设计,故将其独立出来,作为第三层的模块加以封装和实现,实体定义为UserMode。完毕设计目的中顾客模式下的3种子模式。子模式的状态分别定义US0、US1、US2。输入:行和列像素位置信号,顾客控制信号。输出:顾客模式下的颜色图像信号。2.2.4模块设计图图2.4 VGA显示

12、控制器模块接口图图2.4给出了具体的模块图,这些模块属于第二层和第三层。某些模块没有特别给出时钟输入,在具体分块电路硬件描述时再行具体引入,详述于2.3.3小节中。模块输入输出信号的耦合是在顶层模块中进行描述的,顶层模块VGAController在数字系统中属于控制器模块,其设计是数字系统设计的核心,故单独论述于2.2.5小节中,而其VHDL描述详述于2.3.2小节中。2.2.5控制器设计在本实验的具体设计中,控制器的作用和与子系统的耦合关系可由图2.5示出。图2.5 控制器与各模块以及输入输出关系图受图片大小的制约,无法在图2.5中给出控制器和子系统中信号传递的具体表述。现文字加以论述如下。

13、子系统中涉及二层和三层设计中的六个模块,各模块间存在信号的传递,其中时钟信号由Clock产生,驱动其她各个模块,像素位置信号由PixelCNT产生,输出到VGAColor、VGASig以及UserMode模块,作为产生颜色图像信号和扫描同步消隐信号的根据,VGAMode模块决定目前的模式状态,输出目前需要显示的颜色图像信号。子系统和控制器的耦合关系为,一方面,VGAController获取输入信号,传递给子系统,其中输入控制信号sw0和sw1传递给VGAMode用以控制状态的转移,sw2sw7传递给VGAColor用以控制产生纯色,bt0bt4传递给UserMode用以控制顾客自定义图形图像。

14、另一方面,VGAMode将目前颜色图像信号、VGASig将行场同步和消隐信号输出到VGAController,控制器再输出到VGA接口,以控制CRT显示屏。固然,以上信号的传递是基于逻辑和系统设计的角度加以分析的,顶层设计实际是逻辑概念,并非有实实在在的电路,实际生成电路时信号是直接连线的传递的。控制器的描述在顶层完毕。体现为定义顶层实体和原件的例化以及信号的传递。其具体VHDL描述参见2.3.2小节。2.2.6状态机设计如2.2.3节中所述,系统模式设定为纯色模式、横彩模式、纵彩模式、顾客模式,分别定义为S0、S1、S2、S3四种状态。由于使用拨码开关的组合来拟定模式,故状态间的转换条件是拨

15、码开关状态变化,转移方向是其他三种状态中的任意一种,可将拨码开关状态不变理解为向自身状态的转移。状态转移图如图2.6所示。图中没有再标注转移条件。具体硬件语言描述时也只是定义状态为枚举类型,由编译器决定如何对状态编码和优化,事实上,从QuartusII编译综合的成果看, One-Hot的编码方式。具体编码参见3.3节有关状态编码的截图。图2.6 顶层状态机状态转移图2.2.7基本模式设计基本模式有三个,以S0、S1、S2三个状态描述,具体实现是在VGAColor模块中。其中S0是横彩模式,S1是纵彩模式,每种模式均有8种彩色条幅,其实现思路完全一致,差别仅仅在于S0根据列像素信息分割,而S1根据行像素信息分割。图2.7给出了横彩模式的实现流程图。纵彩模式可类似实现。硬件描述时采用条件判断语句生成带有优先级的选择电路。图2.7 横彩模式流程图S2是纯色模式,由拨码开关SW2SW7分别代表RGB三基色,每个基色2个比特,只要在VGAColor中读取出这6个比特组合成为颜色码输出到VGA

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号