EDA数字频率计.doc

上传人:cl****1 文档编号:543006708 上传时间:2023-09-30 格式:DOC 页数:27 大小:2.43MB
返回 下载 相关 举报
EDA数字频率计.doc_第1页
第1页 / 共27页
EDA数字频率计.doc_第2页
第2页 / 共27页
EDA数字频率计.doc_第3页
第3页 / 共27页
EDA数字频率计.doc_第4页
第4页 / 共27页
EDA数字频率计.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《EDA数字频率计.doc》由会员分享,可在线阅读,更多相关《EDA数字频率计.doc(27页珍藏版)》请在金锄头文库上搜索。

1、西华大学课程设计说明书 成绩 课 程 设 计 说 明 书课程设计名称: EDA技术课程设计 题 目: 数字频率计电路设计 学 生 姓 名: 专 业: 学 号: 指 导 教 师: 日期:2013年6月 28日摘要数字频率计利用复杂可编程逻辑器件FPGA,VHDL编程将所有功能模块集成在一块芯片上。功能模块包括时基脉冲发生器、计数器、数据锁存器和显示电路4部分。设计时先分别设计各功能模块,并调试得到正确仿真结果,然后将各个功能模块组合起来。最后作整体仿真、下载,得到实物。由于采用纯数字硬件设计制作,稳定性、可靠性远远高于使用单片机或模拟方式实现的系统,外围电路简单。该数字频率计达到预期要求,实现了

2、可变量程测量,测量范围1HZ10KHZ,精度可达0.1Hz。关键词:数字频率计 FPGA VHDL Abstract:The digital cymometer utilize CPLD (complex programmable logic device) integrate several functional modules onto one single chip by programming with VHDL. The five functional modules are timebase generator , counter, data flip-latch and disp

3、lay circuit. First design the functional module and get the expected simulation results, then ensemble them into one. After that the final simulation and download was done and the product can be made. Due to the use of digital hardware designing,the stability and reliability are far more higher comp

4、ared to those singlechip or anolog implemented system. The digital cymometer could achieve the expected requirement. The measurement range from 0.1Hz to 9999MHz , with the accuracy up to 0.1Hz.Keywords: Digital, symometer , FPGA , VHDL前言所谓频率,就是周期性信号在单位时间(1s)里变化的次数。本频率计设计测量频率的基本原理是,首先让被测信号与标准信号一起通过一个

5、闸门,然后用计数器计数信号脉冲的个数,把标准时间内的计数的结果,用锁存器锁存起来,最后用显示译码器,把锁存的结果用LED数码显示管显示出来。根据数字频率计的基本原理,本文设计方案的基本思想是分为五个模块来实现其功能,即整个数字频率计系统分为频率产生器模块、控制模块、计数模块、译码模块等几个单元,并且分别用VHDL对其进行编程,实现了、计数电路、锁存电路、显示电路等。技术性能指标:1)能够测量输入信号的频率;2)能直接用十进制数字显示测得的频率;3)频率测量范围:1HZ10KHZ;5)测量时间:T=1.5S;6)用CPLD/FPGA可编程逻辑器件实现; 1、EDA技术发展及介绍1.1 EDA技术

6、的介绍EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试

7、及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。 1.2 EDA技术的发展EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程,大致可以分为三个发展阶段:第一阶段为20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑、PCB布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。第二阶段为20世纪80年代的CAED(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线

8、为核心重点解决电路设计的功能检测等问题,使设计能在产品制作之前预知产品的功能与性能。第三阶段为20世纪90年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言、系统仿真和综合技术为特点,采用自上而下的设计理念,将设计前期的许多高层次设计由EDA工具来完成。1.3 EDA技术的发展趋势从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员工发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入

9、一些最新的EDA技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测

10、试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放 。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开

11、花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平沿很有限,需迎头赶上。 2、总体方案设计2.1设计内容要求设计一个能在时钟脉冲CLK的激励下对输入信号FIN计数的数字频率计。时钟脉冲能产生计数使能信号EN、计数清零信号CLR、锁存使能信号LOAD。当EN为高电平时,启动计数;低电平时停止计数,并保持记录的脉冲数。在停止计数期间,用锁存信号的LOAD的上升沿

12、,将计数器在前1秒钟的计数值锁存,并由外部的的7段译码器译码,显示计数结果,其原理框图如下:图2-1.数字频率计原理框图2.2设计方案比较方案一:用数字电路逻辑器件实现数字频率计。被测量信号经过放大与整形电路传入十进制计数器,变成其所要求的信号,此时数字频率计与被测信号的频率相同,时基电路提供标准时间基准信号,此时利用所获得的基准信号来触发控制电路,进而得到一定宽度的闸门信号,当1s信号传入时,闸门开通,被测量的脉冲信号通过闸门,其计数器开始计数,当1s信号结束时闸门关闭,停止计数。根据公式得被测信号的频率f=NHz。 图2-2.数字频率计系统原理方框图方案二:基于现场可编程逻辑门阵列FPGA

13、,通过EDA技术。频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求测频控制信号发生器testpl的计数使能信号tsten能产生一个1秒脉宽的周期信号,并对频率计的每一计数器cnt10的使能端en进行同步控制。当tsten为高电平1时,允许计数;为低电平0时停止计数,并保持其计数结果。在停止计数期间,首先需要一个锁存信号load的上跳沿将计数器在前1 秒种的计数值锁存进16位锁存器reg16b中。锁存信号之后,必须有一个清零信号clr_cnt对计数器进行清零,为下1 秒的计数操作做准备。,然后由外部数码管控制器ledcom控制的7段译码器ymq译出,并稳定显示。图2-3.数字频率计系

14、统原理框图 2.3方案论证方案一采用数字逻辑电路制作,用IC拼凑焊接实现。其特点是直接用现成的IC组合而成,简单方便,但由于使用的器件较多,连线复杂,体积大,功耗大,焊点和线路较多将使成品稳定度与精确度大打折扣。方案二采用可编程逻辑器件(CPLD)制作,利用EDA软件编程,下载烧制实现。将所有器件集成在一块芯片上,体积大大减小的同时还提高了稳定性,并且可应用EDA软件仿真,调试,每个设计人员可以充分利用软件代码,提高开发效率,缩短研发周期,降低研发成本。易于进行功能扩展,可以利用频率计的核心技术,改造成其它产品。实现方法灵活,调试方便,修改容易。2.4方案选择现今人们对低故障、高实时、高可靠、

15、高稳定的性能更加青睐,结合本设计的要求及综合以上比较的情况,我们选择了基于现场可编程逻辑门阵列FPGA,通过EDA技术方案。3、单元模块设计本设计由现场可编程门矩阵(FPGA)作为控制芯片,通过VreilogHDL硬件描述语言设计,运用自顶而下的设计思想,按功能逐层分割实现层次化的设计。总体设计方案为由测频控制信号发生器testpl的计数使能信号tsten能产生一个1秒脉宽的周期信号,并对频率计的每一计数器cnt10的使能端en进行同步控制。当tsten为高电平1时,允许计数;为低电平0时停止计数,并保持其计数结果。在停止计数期间,首先需要一个锁存信号load的上跳沿将计数器在前1 秒种的计数值锁存进16位锁存器reg16b中。锁存信号之后,必须有一个

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号