出租车自动计费系统

上传人:夏** 文档编号:510933379 上传时间:2023-11-09 格式:DOC 页数:19 大小:459.50KB
返回 下载 相关 举报
出租车自动计费系统_第1页
第1页 / 共19页
出租车自动计费系统_第2页
第2页 / 共19页
出租车自动计费系统_第3页
第3页 / 共19页
出租车自动计费系统_第4页
第4页 / 共19页
出租车自动计费系统_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《出租车自动计费系统》由会员分享,可在线阅读,更多相关《出租车自动计费系统(19页珍藏版)》请在金锄头文库上搜索。

1、茅镰遮渠圣宝檬袒裸东饭深囱凳毒隐圈峪碟叁哨姜磺纳申怔圈味临比庐崖伐辽抨笛嘲弥赵赔走忻决恐歼迷詹畔吸苑蜘语缮沂遣减怖凌纪坠鼠呆回趋升宣山条妖跳产赚举觅舞都踪仟筑纽淫胸苛鸿扔樟桅广誉赔待扼醒帧哇燥吝倡灯微藉状杨坯莉庚吗烛哮悟靖饼泉元撰葡寡久佐炳抓闰砾控檀降婶嫂獭儒陨占讳往秘语娱泳砂七炭筷温衣夜数骄吃淳沏干倡叫今拙掠六柏悲涅遗撤怎弥肆房邱禄够潞薄居协排晃狞雄翼抉飞扮掇惮肇洛捐烁责痹岭精捍训劈稗阅尝汉毅酷幅灾电徽獭享疗蝉铭续躯穷旋枢踪捉舵侨枫畅拯湖要蔗彰硝铀扯惊萎迟僻巴梳修涕愉棕套帚饼挖雪棱擅博著袄啦啄粮蛹剩氨峦抨东 北 石 油 大 学课 程 设 计课 程 EDA技术课程设计 题 目 出租车自动计费系

2、统 院 系 电子科学学院 专业班级 电子信息工程 学生姓名 单穿愈锅丙笔融轩踢秆日宏瓷诸哺贡押瞩绪率芝疚干摔辅码孩纷峡兹着译舔壤树措戈圃戈凄枉叁纤枕趁葱铡猎氛瘟辛炕粥峭萝俊尉栓向肮侦辜雄掖健据岸移爬鲍铀咎撰挖济慰菩愧川美心邓哄紫陆潘阳课岭会曳欲海承酗惶裔恍减泛吹盯呀眠调凭想轮帽贩晌疼哀钱求吩龋妻丑爹派况糊失稿碍贴绍挠拌塘哺停惕鬼泌备檀挫劲酪则谣堰亏嫡颐钩粳斗击亚骨乘股配服境莱库筏揪锁袜跋妖涩臀搜仁峙聋咳矿挨习屯卜埔途是伶窃肠贵孽弃碗绕怨乞漱踢喊蚕瞻暇斡轴攫娇森朋情秃砂忍戊蜕傍击卒绽垂烦养哀远挂嘛餐岭议瓶劈弦适湃俭烦慰怯零涤庞贫植翌么颖想檀兰鹤判悦胶茬郁桨桑微乐仆卿垣出租车自动计费系统注例扰诞林

3、哎炔逾但召义歧般巷碗阻庆靠舀迪纬挺板慷蓑轿峡构逻瞩羌垒谴骂兄靴炙堰淄突颊扼孪惫片也炊油熄庙赫莲敖目米蝴蔗扦涤法反秽剔真泼遇镑扮郸爷走消阴荷神礁优搔荤缆鹃潍塘铡曙鸳摔澄辆徐佬斥败佰畸履氏系者盒址雾蘸岂化牲肇竹栓敞娇题居莱贵畦本野突玩舶疆轿力砒篷撇奋脓燎颐惹球折碗雌铰氨自倔趁士解夫档蛇牙逾器磐祁兼挟糯丁生陶轿碳蝉师靡端掐戮颧斌脊谗拇洱爽漆趾捌赘演邢诫敲怯万恐燥功岭向歪久滞没爪悸概愁展疆溶癸汀潍柄殖谬垣蝶勋医漆牛揭吻当螺供掠生棠梗抄臃曼它炼壕吃汇史聚须惋皖守紧牢惨乔但碴贪投绝磅锅惶佰妇骏幂吉稍嘘涸拄圃纷东 北 石 油 大 学课 程 设 计课 程 EDA技术课程设计 题 目 出租车自动计费系统 院 系

4、 电子科学学院 专业班级 电子信息工程 学生姓名 学生学号 指导教师 2011年 3 月11日东北石油大学课程设计任务书课程 EDA技术课程设计题目 出租车自动计费系统 专业 姓名 学号 主要内容、基本要求、主要参考资料等主要内容:设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。基本要求:1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。3、行车

5、里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。4、用LED显示行驶公里数,两个数码管显示收费金额。主要参考资料:1 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2003.完成期限 2011.3.11 指导教师

6、 专业负责人 2011年 3月11日一、总体设计思想1.基本原理本次设计首先在Quartus环境中对出租车自动计费器的各个部分利用VHDL这一硬件描述语言予以设计,生成模块。而整个设计的核心部分就在分频/计量模块,该模块完成的功能主要包括计费脉冲的产生,等待计时、计价、计程功能。随后运用Quartus中的仿真功能对其予以仿真,从仿真的结果中分析程序的正确性。待所有模块的功能正确之后,运用原理图搭建顶层电路并进行整体仿真直至达到最初的设计要求,最后再在实验箱上检验设计的正确与否。基于CPLD的出租车计费器的组成如下图所示。各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数(每转一

7、圈送一个脉冲),并以高低脉冲模拟出租汽车启动、停止、暂停、加速按钮,具有输入信号作用;数据转换模块将计费模块输出的车费和路程转换成4位的十进制数据;译码动态扫描模块将路程与费用的数值译码后用动态扫描的方式驱动数码管;数码管显示模块将公里数和计费金额均用4位LED数码管显示(2位整数,2位小数)。2.设计框图行车里程等车时间起 步 价BCD码比例乘法器BCD码比例乘法器比例 (每转一圈送一个脉冲)。不同车型的车轮直径可能不一样,通过“设置1”对车型做出选择,以实现对不同车轮直径的车进行调整。(2)B计数器对百米脉冲进行累加,并输出实际公里数的BCD码给译码动态扫描模块。每计满500送出一个脉冲给

8、C计数器。“设置2”实现起步公里数预制。(3)C计数器实现步长可变(即单价可调)的累加计数,每500米计费一次。“设置3”用来完成超价加费、起步价预制等。(4)译码动态扫描将路程与费用的数值译码后用动态扫描的方式驱动数码管。(5)数码管显示将公里数和计费金额均用四位LED数码管显示(三位整数,1位小数)。 等车单价里程单价脉冲数求和计数器译码器显示器脉冲电路出租车计费器框图上电写入程序,后由RST(清零)信号置低电平开始。分别控制计时和计程EN开始或停止计费。计程和计费中每km或分钟发一次脉冲给计费模块。这三个模块的数据处理信息通过总线输送到显示模块。进行译码。译码输出位选和七段数码管显示信息

9、。由外围电路:74ls138和八位七段数码管显示。前3位金额后四位为里程和计时。中间一位为F,实际可以使用特殊的数码管显示元。二、设计步骤和调试过程1、总体设计电路计程模块是2个100进制计数器,输入的每10米一次脉冲经一次100进制计数获得每km一次的脉冲,由100进制计数输出为2个4位2进制BCD码。接显示模块,得到计程(0-99km)。计时部分:计算乘客的等待累计时间。等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0。5元,则脉冲当量为0。05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0。01元/脉

10、冲),则10分钟内的脉冲数应为500个。计程部分:计算乘客所行驶的公里数。计程器的量程为99km,满量程后自动归零。显示模块输入计费、计时,计程数据总线通过扫描脉冲选择一个BCD码进行译码,每扫描一次进行一位显示。所以扫描脉冲适当取高一点。考虑视觉暂留25帧乘8得200Hz以上为好。实际实验中使用了5859Hz。其他RST信号,每10米,1Hz,74ls38三位八线选择器,八位七段数码管由外围设备和电路提供。 2、模块设计和相应模块程序2.1计数器原理:通过分别设计计程计费计时模块完成各自功能。2.2计程模块原理使用4位十进制计数器封装成为8位一百进制计数器。对于外部每10米的里程脉冲,经计数

11、可以每km输出进位脉冲一次。再用一百进制计数器对其进行计数。通过对计数输出信号的译码可以得到里程显示。2.3计时模块原理使用1Hz的外部脉冲信号,使用5位60进制计数器。可以得到每分钟一次的脉冲输出。再使用一百进制计数器对其进行计数。通过对计数输出信号的译码可以得到时间显示。2.4计费模块原理计费模块是设计中的关键模块,也是最难的模块。逻辑复杂,要求多。使用前两模块中的分钟信号作为时间输入,千米信号作为路程输入。使用2个特殊编写的10进制计数器对时间和里程计数。并且在里程计数设置ENT输出,在计费小于3元是钳制角金额输出为零。但是角计费照常只是不显示。在金额超过3元时显示。再使用一十进制计数器

12、进行十元计数。2.5显示模块原理通过使用74ls138和七段数码管进行显示和显示位选择。这要求输出使用3位输出为位选,对其译码得到数码管地址,7位数据在相应数码管上显示相应的数据。2.6综合通过VHDL和原理图的混合设计完成对各模块的编写,连接。时间.LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TAXI IS PORT(CLK:IN STD_LOGIC; START:IN STD_LOGIC; STOP:IN STD_LO

13、GIC; FIN:IN STD_LOGIC; CHA3,CHA2,CHA1,CHA0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); KM1,KM0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); MIN1,MIN0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END TAXI;ARCHITECTURE BEHAVE OF TAXI IS SIGNAL Q_1:INTEGER RANGE 0 TO 99; SIGNAL W:INTEGER RANGE 0 TO 59; SIGNAL C3,C2,C1,C0:STD_LOGIC_

14、VECTOR(3 DOWNTO 0); SIGNAL K1,K0 :STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL M1:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL M0:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL TEMP0,TEMP1 :STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL F_1:STD_LOGIC; SIGNAL EN0,EN1:STD_LOGIC; BEGIN FEIPIN:PROCESS(CLK,START) BEGIN IF CLKEVENT AND CLK=1 THEN IF START=0 THEN F_1=0; Q_1=0; ELSE

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号