EDA4路智能抢答器课设说明书

上传人:大米 文档编号:508868974 上传时间:2023-12-11 格式:DOCX 页数:24 大小:459.44KB
返回 下载 相关 举报
EDA4路智能抢答器课设说明书_第1页
第1页 / 共24页
EDA4路智能抢答器课设说明书_第2页
第2页 / 共24页
EDA4路智能抢答器课设说明书_第3页
第3页 / 共24页
EDA4路智能抢答器课设说明书_第4页
第4页 / 共24页
EDA4路智能抢答器课设说明书_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《EDA4路智能抢答器课设说明书》由会员分享,可在线阅读,更多相关《EDA4路智能抢答器课设说明书(24页珍藏版)》请在金锄头文库上搜索。

1、引 言11 智能抢答器设计31.1 设计的目的31.2 设计的基本内容32 VHDL 及 Quartus II 软件介绍 42.1硬件描述语言VHDL42.1.1 VHDL 的简介42.1.2 VHDL语言的特点及优点42.2 Quartus II 软件的应用 63 抢答器总体设计83.1 功能分析83.2 整体设计思想83.3 分频模块设计93.4 复位模块设计93.5 抢答模块设计103.6 倒计时模块设计123.7 硬件下载驱动144 心得体会15参考文献16附录一17附录二23引言数字电路主要是基于两个信(号我们可以简单的说是有电压和无电)压,用数字信号 完成对数字量进行算术运算和逻辑

2、运算的电路我们称之为数字电它路具,有逻辑运算和 逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。EDA技术又称电子设计自动化它是为解决自动控制系统设计而提出的,70年 代经历了计算机辅助设计( CAD ),计算机辅助工程( CAE ),电子系统设计自动化 (ESDA ) 3个阶段。前两个阶段的DA产品都只是个别或部分的解决了电子产品设计 中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统 一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具VHDL ( VERY HIGH SPEED INTEGRATED CIRCUIT HARDWAR

3、E DESCRIPTION LANGUAGE )语言最早是有美国国防部提出的,它支持行为领域和结 构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑在级描,述数字系 统时,可以使用前后一致的语义和语法跨越多个层次并,且使用跨越多个级别的混合描 述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成 的系统模拟。它有两个版本EEEStdl076-1987LRM8和 IEEEStdl076-1993LRM93 他们并不完全兼容,但做一些修改就可以兼容了。许多公司都为VHDL开发出了编译和仿真软件其中Max+plusII或写成Maxplus2, 或MP2)是Alter

4、a公司推出的的第三代LD开发系统Altera第四代PLD开发系统被 称为:QuartusII主要用于设计新器件和大规模PLD/FPGA).使用MAX+PLUSI啲 设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计(如工原具理图输 入或硬件描述语言建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。 其设计速度非常快。对于一般几千门的电路设计,使JAX+PLUSII从设计输入到 器件编程完毕3用户拿到设计好的逻辑电路大3约只需几小时。设计处理一般在数分钟 内内完成。特别是在原理图输入等方面。EDA技术是在电子2AD技术基础上发展起来的计算机软件系统3旨以计算机为 工作平

5、台3融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果进3 行电子产品的自动设计。利用DA工具,电子设计师可以从概念、算法、协议等开始 设计电子系统3大量工作可以通过计算机完成并3可以将电子产品从电路设计性、能分 析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对A的 概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、 军事等各个领域,都在DA的应用。目前EDA技术已在各大公司、企事业单位和科 研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行 模拟,都可能涉及至EDA技术。本文所指的EDA技术,主要针对电子

6、电路设计PCB 设计和IC设计。EDA设计可分为系统级、电路级和物理实现级。VHDL主要用于描述数字系统的结构行为,功能和接口。除了含有许多具有硬件 特征的语句外VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语 言。1 智能抢答器设计1.1 设计的目的本次设计的目的就是在掌握DA实验开发系统的初步使用基础上,解EDA技术, 了解并掌握VHDL硬件描述语言的设计方法和思想,通过学习VHDL语言结合电子 电路的设计知识理论联系实际,掌握所学的课程知识,学HDL基本单元电路的综 合设计应用。通过对智力竞赛抢答器的设计巩,固和综合运用所学课程理,论联系实际, 提高设计能力,提高分析、解

7、决计算机技术实际问题的独立工作能力本。文以现场可编 程逻辑器件FPGA )为设计载体以硬件描述语言/HDL为主要表达方式以QuartusII 开发软件设计的电子抢答器,具有抢答鉴别与锁存功能以29及秒答题限时功能,应用 二极管和数码显示管为主要部件来设计扫描显示器。通过课程设计深入理解L语 言的精髓和掌握运用所学的知识,达到课程设计的目标。1.2 设计的基本内容(1) 设计一个四组(人) 参加的智力竞赛抢答计时器它,具有四路抢答输入主,持人 按下复位键后,系统复位进入抢答状态,计时显示初始值;(2) 某组首先按下抢答键,该路抢答信号,竞赛抢答器能够设别最先抢答的信号, 锁定该信号,同时扬声器响

8、起,参赛小组的序号在数码管上显示;(3) 主持人对抢答结果进行确认,给出倒计时计数允许信号,开始回答问题,计时 显示器从初始值开始以秒为单位倒计时计,数至0时,停止计数,扬声器发出超时报警 信号,以中止继续回答问题;(4) 当主持人给出倒计时计数禁止信号时,扬声器停止鸣叫;(6) 参赛者在规定时间内回答完问题,主持人给出倒计时计数禁止信号,以免扬声 器鸣叫,按下复位键,又可开始新一轮的抢答;2 VHDL 及 Quartus II 软件介绍2.1硬件描述语言一一VHDL现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多DA公 司的支持,在电子工程领域,已成为事实上的通用

9、硬件描述语言。2.1.1 VHDL 的简介VHDL英 文全名是Very-High-Speed Integrated Circuit HardwareDescription Language, 诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。 自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继 推出了自己的VHDL设计环境或宣布自己的设计工具可以和HDL接口。此后VHDL 在电子设计领域得到了广泛的接受并逐步取代了原有的非标准的硬件描述语言19。93 年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩

10、VHDL的内 容,公布了新版本白VHDL即IEEE标准的1076-1993版本,(简称93版)。有专家 认为,在新的世纪中VHDL于Verilog语言将承担起大部分的数字系统设计任务。2.1.2 VHDL语言的特点及优点应用VHDL进行系统设计,有以下几方面的特点。(1) 功能强大VHDL具有功能强大的语言结构。它可以用明确的代码描述复杂的控制逻辑设计。 并且具有多层次的设计描述功能支持设计库和可重复使用的元件生成HDL是一种 设计、仿真和综合的标准硬件描述语言。(2) 可移植性VHDL语言是一个标准语言,其设计描述可以为不同的A工具支持。它可以从 一个仿真工具移植到另一个仿真工具从,一个综合

11、工具移植到另一个综合工具从,一个 工作平台移植到另一个工作平台。此外,通过更换库再重新综合很容易移植为设 计。(3) 独立性VHDL 的硬件描述与具体的工艺技术和硬件结构无关。设计者可以不懂硬件的结 构,也不必管最终设计实现的目标器件是什么而,进行独立的设计。程序设计的硬件目 标器件有广阔的选择范围,可以是各系列的LD FPGA及各种门阵列器件。(4) 可操作性由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改 变源程序的条件下只,需改变端口类属参量或函数就,能轻易地改变设计的规模和结构。(5) 灵活性VHDL最初是作为一种仿真标准格式出现的有着丰富的仿真语句和库函数使其

12、 在任何大系统的设计中,随时可对设计进行仿真模拟所。以,即使在远离门级的高层次 ( 即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查 验,并做出决策。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬 件特征的语句外VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级 语言。VHDL的程序结构特点是将一项工程设计或称设计实体可以是一个元件,一 个电路模块或一个系统) 分成外部( 或称可是部分,及端口 )和内部( 或称不可视部分), 既涉及实体的内部功能和算法完成部分在。对一个设计实体定义了外部界面后一,旦其 内部开发完成后,其他的设计就

13、可以直接调用这个实体这。种将设计实体分成内外部分 的概念是VHDL系统设计的基本点。VHDL比其它硬件描述语言相比有以下优点:(1) 与其他的硬件描述语言相比VHDL具有更强的行为描述能力,从而决定了他 成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构 从逻辑行为上描述和设计大规模电子系统的重要保证。(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计 系统的功能可行性,随时可对设计进行仿真模拟。(3) VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和 已有设计的再利用功能符。合市场需求的大规模系统高效高,速的完成必须有

14、多人甚至 多个代发组共同并行工作才能实现。(4) 对于用VHDL完成的一个确定的设计,可以利EDA工具进行逻辑综合和优 化,并自动的把VHDL描述设计转变成门级网表。(5) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管 理最终设计实现的目标器件是什么,而进行独立的设计。2.2 Quartus II 软件的应用Quartus I是Altera公司的第四代可编程逻辑器件集成开发环提供从设计输入到 器件编程的全部功能QuartusII可以产生并识别EDIF网表文件、VHDL网表文件和 Verilog HDL网表文件,为其它EDA工具提供了方便的接口;可以在uartus II

15、集成环 境中自动运行其它EDA工具。利用Quartus II软件的开发流程可概括为以下几步:设计输入、设计编译、设计定 时分析、设计仿真和器件编程。(1) 设计输入Quartus I软件在File菜单中提供New Project Wizard.向导,引导设计者完成项目 的创建。当设计者需要向项目中添加新VHDL文件时,可以通过New,选项选择添加。(2) 设计编译Quartus I编译器完成的功能有:检查设计错误、对逻辑进行综合、提取定时信息、 在指定的Altera系列器件中进行适配分割产生的输出文件将用于设计仿真定时分析 及器件编程。1) 首先确定软件处Compile Mode可以通过Pro

16、cessing菜单进行选择。2) 在Processing菜单中选择Compiler Setting项。可以进行器件选择、模式设定、 综合和适配选项设定及设计验证等。3) 单击Processing菜单下的Start Compilation项,开始编译过程。4) 查看编译结果,我们可以得到详细的编译报告。(3) 设计定时分析单击Project菜单下的Timing Settings.选项,可以方便地完成时间参数的设定。 Quartus II软件的定时分析功能在编译过程结束之后自动运行,并在编译报告的hg Analyses文件夹中显示其中我们可以得到最高频率max、输入寄存器的建立时间SU、 引脚到引脚延迟PD、输出寄存器时钟到输出的延迟0和输入保

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号