可编程逻辑实验报告

上传人:枫** 文档编号:508422171 上传时间:2022-08-08 格式:DOC 页数:16 大小:367KB
返回 下载 相关 举报
可编程逻辑实验报告_第1页
第1页 / 共16页
可编程逻辑实验报告_第2页
第2页 / 共16页
可编程逻辑实验报告_第3页
第3页 / 共16页
可编程逻辑实验报告_第4页
第4页 / 共16页
可编程逻辑实验报告_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《可编程逻辑实验报告》由会员分享,可在线阅读,更多相关《可编程逻辑实验报告(16页珍藏版)》请在金锄头文库上搜索。

1、西安邮电大学可编程逻辑实验报告系 别电院学 号05114121成 绩班 级光电1104班姓 名教师签字 实验名称 用原理图输入法设计门电路一:实验目的1. 掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+PLUS操作。2. 学会利用软件方针和硬件实现对数字电路的逻辑功能进行验证和分析。3. 能够利用CPLD器件开发具有基本与非逻辑功能的数字电路。二:实验所用仪表及主要器材PC, EDA软件MAX+PLUS。三:实验原理简述(原程序、真值表、原理图)及实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析)实验一:用原理图输入法实现F=和F=AB+CD逻辑电路,要求原理图输入、 编

2、译并仿真。 (1)F=ABF001011101110真值表 仿真结果图 (2) F=AB+CD 真值表ABCDF00000000100010000111010000101001100011111000010010101001011111001110111110111111仿真结果图 西安邮电大学可编程逻辑实验报告系 别电院学 号05114121成 绩班 级光电1104班姓 名雷晓丹教师签字 实验名称 用文本输入法设计门电路一:实验目的1.进一步熟悉MAX+plus II软件,学习用文本输入法设计电路。 2.进一步熟悉 CPLD 数字电路设计流程。 3.学习初步的 VHDL 程序设计方法。二:实

3、验所用仪表及主要器材 PC,可编程逻辑电路板,下载线,USB电源线,双踪示波器,数字万用表,导线若干三:实验原理简述(原程序、真值表、原理图)及实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析)实验二:用VHDL实现或非门、同或门。(1) 或非门F= 真值表ABF001010100110 原程序library ieee;use ieee.std_logic_1164.all;entity nor2 isport(a,b:in std_logic; y:out std_logic );end;architecture rel_1 of nor2 isbegin y=a nor b ;end;仿真结果图(2) 同或门F= 真值表ABF001010100111原程序library ieee;use ieee.std_logic_1164.all;entity xnor2 isport(a,b:in std_logic; y:out std_logic );end;architecture rel_1 of xnor2 issignal h:std_logic;begin h=a xor b ; yyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyyy=0000; when10

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > PPT模板库 > 总结/计划/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号