硕士论文-MCS-51单片机与FPGA的接口逻辑设计

上传人:hs****ma 文档编号:508087266 上传时间:2023-05-23 格式:DOC 页数:45 大小:596KB
返回 下载 相关 举报
硕士论文-MCS-51单片机与FPGA的接口逻辑设计_第1页
第1页 / 共45页
硕士论文-MCS-51单片机与FPGA的接口逻辑设计_第2页
第2页 / 共45页
硕士论文-MCS-51单片机与FPGA的接口逻辑设计_第3页
第3页 / 共45页
硕士论文-MCS-51单片机与FPGA的接口逻辑设计_第4页
第4页 / 共45页
硕士论文-MCS-51单片机与FPGA的接口逻辑设计_第5页
第5页 / 共45页
点击查看更多>>
资源描述

《硕士论文-MCS-51单片机与FPGA的接口逻辑设计》由会员分享,可在线阅读,更多相关《硕士论文-MCS-51单片机与FPGA的接口逻辑设计(45页珍藏版)》请在金锄头文库上搜索。

1、河北工业大学硕士论文MCS-51单片机与FPGA的接口逻辑设计摘 要数字电路由早期的电子管、晶体管、中小规模集成电路发展到超大规模集成电路以及具有特定功能的专用集成电路ASIC(Application Specific Integrated Circuit)。随着微电子技术的发展,出现了现场可编程逻辑器件FPLD,其中应用最广泛的是现场可编程门阵列FPGA。FPGA(Field-Programmable Gate Array)具有使用灵活、高集成度、低功耗、设计快速、现场可编程等优势,在系统设计中经常会用到FPGA来扩展单片机的相关资源。单片机具有性价比高、功能灵活、易于人机对话、强大的数据处

2、理能力等特点,因此,系统设计中将单片机数据处理的优势与FPGA高速集成、执行快速的特点相结合,能够设计出既有强大控制功能又能使各项功能快速执行的系统。基于这种需求,MCS-51单片机与FPGA之间的通信便显得尤为主要,有很强的现实意义。 本课题通过研究FPGA的技术特点及应用、单片机接口的实现方式以及软件的仿真等,设计了MCS-51单片机与FPGA通信的接口逻辑电路,实现单片机与可编程逻辑器件数据与控制信息的可靠通信。接口主要有并行接口和串行接口。设计采用的是VHDL语言的文本输入方式,设计比较灵活,按照接口的要求设计逻辑电路。并行接口是利用单片机的I/O口,并按照读写时序设计逻辑,使得单片机

3、与FPGA之间的数据可以相互传送。串行接口是由波特率发生器、接收器和发送器模块组成,通过各模块的功能设计接口逻辑。利用仿真软件Quartus 进行分析综合、功能仿真与时序仿真,生成分析报告以及内部逻辑电路,并得出仿真结果,验证接口的可行性。关键词:单片机,FPGA,接口逻辑,数据通信MCS-51 MICROCONTROLLER INTERFACEAND FPGA LOGIC DESIGNABSTRACTDigital circuit developed from the early electron tubes, transistors, small and medium-scale inte

4、grated circuits to a very large scale integrated circuits and application specific integrated circuit with a specific function ASIC. With the development of microelectronics, there have been field-programmable logic devices, in which field-programmable gate array is the most widely application. FPGA

5、 with flexible application, highly integrated, low power consumption, fast design, field-programmable and other advantages is often used to expand the microcontroller related resources in the system. SCM has higher cost-effective, flexible function, easy dialogue to man-machine, powerful data proces

6、sing capabilities and other characteristics. Therefore, combined the advantages of SCM data processing to the high-speed integration and fast implementation of FPGA, it can design the system with power control function and rapid implementation of the various functions. Based on the demand, the commu

7、nication between MCS-51 microcontroller and FPGA becomes more important and has a strong practical significance. By studying the technical characteristics and application of FPGA, the implementations of MCU interfaces and the software simulation, the interfaces logic circuits of MCS-51 MCU and FPGA

8、communication have been designed to realize the reliable communication between Single Chip Microcomputer and programmable logic device data and control information. The interfaces include parallel interface and serial interface. The design uses the VHDL language text methods which have flexibly desi

9、gned. According to demand of the interface, design logic circuit. Using the I/O ports and following read-write timing of microcontroller, deigned the logic circuit of parallel interface to make data transfer each other between MCU and FPGA. Serial interface include baud rate generator, receiver and

10、transmitter. Use the function design of each module to design the interface logic. Run Analysis and Synthesis, functional simulation and timing simulation using simulation software Quartus .Finally, generate analysis reports and the internal logic circuits. Based simulation result, verify the feasib

11、ility of the interface. KYE WORDS: single chip microcomputer, FPGA, interface logic, data communication目 录第一章 绪论11-1 论文的选题背景11-2 FPGA技术发展概况11-2-1 国内外发展状况11-2-2 FPGA技术的应用及发展趋势21-3 论文的主要工作3第二章 FPGA技术及设计工具52-1 FPGA器件的发展及分类52-2 FPGA的基本结构及功能62-3 FPGA器件的配置92-4 FPGA的设计流程102-5 Quartus 仿真软件112-5-1 Quartus 软件

12、的特点及支持的器件122-5-2 Quartus 软件的集成工具及功能12第三章 单片机与FPGA接口通信143-1 FPGA的接口技术及特点143-2 FPGA的特点及优势153-3 单片机与FPGA的通信163-3-1 串行通信163-3-2 并行通信16第四章 MCS_51单片机与FPGA并行接口的逻辑设计174-1 MCS_51单片机与FPGA并行接口设计184-1-1 接口方式184-1-2 总线接口逻辑设计184-2 芯片的选取及器件配置204-2-1 单片机的选取204-2-2 FPGA器件的选取204-3 仿真模块及结果214-3-1 各模块设计214-3-2 总线接口逻辑24

13、第五章 MCS_51单片机与FPGA串行接口设计285-1 单片机与FPGA串行通信285-2 串行接口的各模块设计285-2-1 波特率发生器295-2-2 串行接口信的发送器295-2-3 串行接口的接收器315-3 串行接口的仿真结果325-4 小结34第六章 结论355-1 结论355-2 展望35参考文献36致 谢38攻读硕士学位期间所取得的相关科研成果3937第一章 绪论1-1论文的选题背景当今社会是数字化的社会,数字集成电路应用非常广泛,其发展从电子管、晶体管、小规模集成SSI、MSI、LSI 到超大规模集成电路ULSI和超位集成电路GSI,其规模平均每12年翻一番。集成电路的发

14、展大大促进了EDA技术的发展,半导体厂商已经不再完全独立设计和制造专用集成电路(ASIC),开始转向可编程逻辑器件,特别是现场可编程逻辑器件,使系统设计师在实验室里就可以设计出合适的ASIC芯片,直接投入使用。现在应用最广的主要是现场可编程门阵列(FPGA)、复杂可编程逻辑器件(CPLD)和可擦除可编程逻辑器件(EPLD)。现场可编程门阵列FPGA器件是Xlinx公司1985年首家推出的,它是一种新型的高密度PLD,采用CMOS-SRAM工艺制造1。自Xilinx公司推出第一片现场可编程逻辑器件(FPGA)至今,FPGA已经历了十几年的发展历程,以FPGA为代表的数字系统集成技术取得了惊人的发

15、展,从最初的1200门发展到现在的数百万门FPGA芯片,将FPGA芯片的集成度提高到一个新的水平。FPGA市场之所以有这么大的吸引力,主要源于FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且缩短了开发周期、节省开发成本、芯片的价格越来越低,使FPGA取代了ASIC的市场。另外,FPGA的功能完全由用户编制的配置程序决定,在不改变其外部接口的情况下,可以很方便的改变电路的逻辑功能,特别是对小批量、多品种的军品设备的研制,FPGA非常适合作为开发芯片。所以,国内外已普遍地使用FPGA来设计产品或进行科研2。随着可编程逻辑器件及EDA技术的不断发展,各方面优势逐渐显现,在系统设计中经常会用到FPGA来扩展单片机的相关资源,使其有机结合,缩短开发周期,适应市场需要。在功能上,单片机具有性价比高、功能灵活、控制能力强、良好的数据处理能力,而FPGA具有高速、高可靠以及开发便捷、组合逻辑能力强、规范等优点,与单片机有很强的互补性。系统设计中将单片机数据处理的优势与FPGA高集成度、执行快速的特点相结合,能够设计出既有强大控制功能又能使各项功能快速执行的系统。基于这种需求,本课题设计了MCS-51单片机与FPGA的接口逻辑电路,实

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号