EDA分频器实验报告含有实验程序、仿真图像三分频八分频

上传人:新** 文档编号:507502225 上传时间:2024-01-09 格式:DOCX 页数:5 大小:238.90KB
返回 下载 相关 举报
EDA分频器实验报告含有实验程序、仿真图像三分频八分频_第1页
第1页 / 共5页
EDA分频器实验报告含有实验程序、仿真图像三分频八分频_第2页
第2页 / 共5页
EDA分频器实验报告含有实验程序、仿真图像三分频八分频_第3页
第3页 / 共5页
EDA分频器实验报告含有实验程序、仿真图像三分频八分频_第4页
第4页 / 共5页
EDA分频器实验报告含有实验程序、仿真图像三分频八分频_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《EDA分频器实验报告含有实验程序、仿真图像三分频八分频》由会员分享,可在线阅读,更多相关《EDA分频器实验报告含有实验程序、仿真图像三分频八分频(5页珍藏版)》请在金锄头文库上搜索。

1、Quartus H 的功能模块应用设计设计分频器一、 实验目的1 、熟悉PLD 的设计流程。2 、 熟悉软件的功能和操作。3 、 熟悉层次化电路图的设计方法。4 、熟悉PLD 中时序电路的设计过程和方法。实验原理三分频: 通过待分频时钟上升沿触发计数器进行模3 计数,当计 数器计数到临近值进行两次翻转。 比如在计数器计数到 1 时,输出时 钟翻转,计数到 2 时再次翻转,即再邻近的 1 和 2 时刻进行两次翻 转。这样实现的 3 分频占空比为 1/3 或者 2/3. 如果要实 现占空比为 50% 的 3 分频时钟,可以通过待分频时钟下降沿触发计数, 和上升沿 同样的方法计数进行3 分频,然后将

2、下降沿产生的 3分频时钟和上升 沿产生的时钟进行相或运算,即可得到占空比为50% 的 3 分频。三、实验内容1 、根据要求设计三分频器、八分频器。2 、对设计的分频器进行波形仿真分析。四、三位、八位简易频率计程序1 、三分频器程序module san (clk_in,rst_n,clk_out); input clk_in;input rst_n;output clk_out;reg 1:0 t1,t2;reg k1,k2;always (posedge clk_in)begin if(!rst_n) begint1=0;k1=0;endelse beginif(t1=2b10) begint

3、1=0;k1=k1;endelse begint1=t1+1;k1=!k1;endendendalways (negedge clk_in) beginif(!rst_n) begint2=0;k2=0;endelse begin if(t2=2b10) begin t2=0;k2=k2;endelse begint2=t2+1; k2=!k2;endendendassign clk_out=k1|k2; endmodule2 、八分频器程序: module ba (inclk,tick); output tick; input inclk;reg 1:0 t;reg tick;always (posedge inclk) beginif(t=3)begintick =tick;t=0;endelse t=t+1;endendmodule五、实验仿真波形六、实验总结和感想通过这次实验我对PLD 的设计流程有了更深的感触,明白了其设计的一般步骤及方法,为以后的 Quartus H 的综合设计奠定了基础。同时,对于分频器程序的编写有了更深的认识,这能更好的促进 我们以后的理论学习。实验过程中也必须本着不骄不躁、耐心严谨, 否则容易出错而达不到实验要求。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号