EDA音乐播放器课程设计

上传人:ni****g 文档编号:507298286 上传时间:2022-08-24 格式:DOC 页数:34 大小:937KB
返回 下载 相关 举报
EDA音乐播放器课程设计_第1页
第1页 / 共34页
EDA音乐播放器课程设计_第2页
第2页 / 共34页
EDA音乐播放器课程设计_第3页
第3页 / 共34页
EDA音乐播放器课程设计_第4页
第4页 / 共34页
EDA音乐播放器课程设计_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《EDA音乐播放器课程设计》由会员分享,可在线阅读,更多相关《EDA音乐播放器课程设计(34页珍藏版)》请在金锄头文库上搜索。

1、本课程设计是实现演奏三首乐曲演奏电路的硬件实现。总共分为五个模块,分别为20MHZ专换1MHZ频模块,1MHZ专换4HZ分频模块,地址计数器模块,rom 存储器模块,乐音分频模块。音符的频率由乐音分频模块获得,这是一个数控分 频器。由时钟端输入一具有1MHZ勺信号,分频比由预置数值决定,其输出频率将 决定每一个音符的音调。音符的持续时间根据乐曲的速度及每个音符的节拍数来 决定。三首乐曲分别为”两只老虎”,“找朋友”,“世上只有妈妈好”。关键词:乐曲演奏电路,20MHZ专换1MHZ分频模块,1MHZ专换4HZ分频模块,地 址计数器模块,rom存储器模块,乐音分频模块Pick toThis cou

2、rse is designed to play music three play hardware implementation of the circuit. A total is divided into five modules, respectively for 20 MHZ conversion 1 MHZ freque ncy module, 1 MHZ conv ersi on 4 HZ freque ncy module, address coun ter module, ROM memory module, dividi ng sound module. Note the f

3、reque ncy of the sound freque ncy module, a CNC divider. By the in put, a sig nal with 1 MHZ clock end, freque ncy divisio n ratio determ ined by the preset value, the output freque ncy will determine the tones of every note. The duration of the notes according to the number of speed and every note

4、of the beat of the music to decide. Three piece respectively two tigers, find friends, only a mother good in the world.Keywords : music playing circuit, 20 MHZ conversion 1 MHZ frequency module, 1 MHZ conv ersi on 4 HZ freque ncy module, address count电子技术飞速发展,传统的电子电路设计已经满足不了人们的要求,可编程逻辑逻辑器件和EDA技术的运用大

5、大地提高了工作效率。大大地减轻了电路设计和PCB设计的难度。由于本人出于对音乐的热爱,故用EDA技术设计了一款音乐播放器。设计要求连续播放三首乐曲。设计采取 用quartusll进行仿真,然后用开发板进行测试。基于工程领域中EDA技术应用的巨大实用价值,以及重视实践能力和创新意识培养的重要性,所 以对各个模块进行了严格的测试。这是本人的第一次的关于EDA的作品,如果有不足之处,欢迎指正,。Ill目录第1章分频器01.1 分频器 01.2 20MHZ 转换为1MHZ 分频器 01.3 1MHZ转换为4HZ分频器 01.4乐音分频器1第2章存储器与地址发生器 52.1地址发生器52.2 rom存储

6、器 5第3章顶层电路设计143.1播放器的顶层电路 143.2播放器的组成 14第4章课程设计总结 15参考文献 16附录 17第1章分频器1.1分频器分频器有三个,分别是20MHZ转换为1MHZ分频器,1MHZ转换为4HZ分频器,乐音分频器。1.2 20MHZ转换为1MHZ分频器因为开发板提供的时钟信号为20MHZ的时钟信号,为了给乐音分频器和1MHZ转换为4HZ的分频器提供1MHZ的时钟信号,故设计了此分频器。主 要实现的功能是 20MHZ的输出信号转换为 1MHZ的输出信号,分频器的符号 图如图1-1所示。图1-1 20MHZ 转换为 1MHZ分频器波形如图1-2所示胡自T ime B

7、at:1Po*4ar3127 n*Ya11 a 盘亡J320. Q m&nsHiUO s10CLEa oKruwwLiuinRnRRnjuumrOUT!B 0【1图1-2 20MHZ转换为1MHZ分频器波形1.3 1MHZ转换为4HZ分频器rom存储器提供信4 HZ的时钟信号是乐谱节拍信号,为地址计数器与 -j CLK CMJT1 |k$iii.号。分频器的符号图如图1-3所示。图1-3 1MHZ转换为4HZ分频器波形如图1-4所示帘如匮对tt t Fjttrtea 的收 5wHl ID图1-4 1MHZ转换为4HZ分频器1.4乐音分频器由1MHZ提供时钟信号,根据乐谱存储器的输出得到对应音符

8、的分频值, 然后输出相应的方波信号。符号图如图1-5所示。:(ViJiEviNPENPlNk * *INX(3.O&PK1L IH甲)CLK.: -图1-5乐音分频器本人设计的乐音分频器有87个分频值,由于播放固定三首乐曲,使用到11个音符的分频值,篇幅有限,故只列出11个波形图。乐音分频输出部分波形如图1-6所示。图1-6乐音分频器输出部分波形乐音分频输出部分波形如图1-7所示Vfil n/t i:t 1)pa3S0 0 ziz 冷.(g 3GC.0 nsii1.2a g1.G z1 92 u=2.4 工.菲2.G5 s11113.2 g10J5 ulLB. E1 JS詡血V 3Tii ii

9、i 37wkI o图1-7乐音分频器输出部分波形乐音分频输出部分波形如图1-8所示论讥1严q p -救卩-1-1 s, -1叫-2绊-八卩 2即-廻】H B5琏门: X图1-8乐音分频器输出部分波形乐音分频输出部分波形如图1-9所示图1-9乐音分频器输出部分波形乐音分频输出部分波形如图1-10所示图1-10乐音分频器输出部分波形乐音分频输出部分波形如图1-11所示图1-11乐音分频器输出部分波形乐音分频输出部分波形如图1-12所示图1-12乐音分频器输出部分波形图1-13乐音分频器输出部分波形乐音分频输出部分波形如图1-14所示图1-14乐音分频器输出部分波形乐音分频输出部分波形如图1-15所

10、示图1-15乐音分频器输出部分波形乐音分频输出部分波形如图1-16所示。aelk11 1+J eadtLJ立25 D53ri IF图1-16乐音分频器输出部分波形第2章存储器与地址发生器2.1地址发生器九位二进制计数器,内部设置计数最大值为474,作为音符数据rom存储器的地址发生器。这个计数器的计数频率为4H乙其符号图如图 2-1所示。图2-1地址发生器2.2 rom存储器rom存储器存放的数据是三首乐曲的信息,分别为“两只老虎”,“找朋友”,“世上只有妈妈好”。由4HZ的信号控制时间,根据地址发生器的输出 值作为rom存储器的地址输入,驱动rom存储器相对应的数据输出。其符号图如图2-2所

11、示。Addr41-01413鼎唱羽炬码*3)9 I X上2Ld1!C!C404Q42CH fl1 HH4242cUWtJC444UU-IP4Tuu皓4E隈4Tfl目4?打 V1 I itc47灯fl斯ISI疋斗41 EI- 174DW40n4;4;4047(7i mi L1I0TiFTr(6:疋4?44F*TE的期*!电聊斗4?歩.二 F二 *_. _ /_ 1 _0flV吐杞42M电4242E申4?47 P?45书聊44習业p42le:4D4勒聊如p4747U2 Jcu4D3T3?4fl35S31353E153F!$3:F3C4护叮囲r+T4444?17fl他S!S3i35J朋J)附蔚舟4怡4F *u44ij17期V+7fl471514U44斗45*37r打H0Cr42G taC4243a42电d4iIS:P*?4?549uuZCC屯0 4(4i&4Cc4747fl47机(f4744u2(2閒F

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号