EDA专业课程设计多功能数字钟

上传人:博****1 文档编号:507054346 上传时间:2023-05-23 格式:DOC 页数:40 大小:1.44MB
返回 下载 相关 举报
EDA专业课程设计多功能数字钟_第1页
第1页 / 共40页
EDA专业课程设计多功能数字钟_第2页
第2页 / 共40页
EDA专业课程设计多功能数字钟_第3页
第3页 / 共40页
EDA专业课程设计多功能数字钟_第4页
第4页 / 共40页
EDA专业课程设计多功能数字钟_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《EDA专业课程设计多功能数字钟》由会员分享,可在线阅读,更多相关《EDA专业课程设计多功能数字钟(40页珍藏版)》请在金锄头文库上搜索。

1、EDA专业课程设计多功能数字钟哈尔滨工业大学(威海)电子学课程设计报告带有整点报时的数字钟设计与制作姓名:蒋栋栋班级:0802503学号:080250331指导教师:井岩目录一、课程设计的性质、目的和任务3二、课程设计基本要求3三、设计课题要求3四、课程设计所需要仪器4五、设计步骤4 1、整体设计框图4 2、各个模块的设计与仿真4 4 6 10 13 14 16六、调试中遇到的问题及解决的方法18七、心得体会18一、课程设计的性质、目的和任务创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。

2、通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。二、课程设计基本要求掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。三、设计课题要求(1)构造一个24小时制的数字钟。要求能显示时、分、秒。(2)要求时、分、秒能各自独立的进行调整。(3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。#设计提示(仅供参考):(1)对

3、频率输入的考虑数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。(2)计时部分计数器设计的考虑分、秒计数器均为模60计数器。小时计数为模24计数器,同理可建一个24进制计数器的模块。(3)校时设计的考虑数字钟校准有3个控制键:时校准、分校准和秒校准。微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页

4、)。(4)报时设计的考虑可以将高频时钟分频得到约2KHz和1KHz的音频,作为数字钟的报时频率。当电子钟显示XX:59:50时,数字钟开始报时“DO,持续一秒,而且每隔一秒报一下,直至显示XX:00:00时报“DI,持续一秒后停止。最后输出至喇叭。应调用元件库中的逻辑门建一个控制报时的模块。(5)建一个七段译码的模块因在系统可编程器件实验箱上的数码管没有经过译码,故要用AHDL语言写一个七段译码的模块,且应考虑数码管为共阳极。数码管上的点(D2、D4、D6)应置Vcc。四、课程设计所需要仪器1、计算机一台2、quartus软件3、FPGA开发板五、设计步骤1、模块介绍(1) 分频模块:产生1H

5、z、1KHz、2KHz频率(2) 计数器模块:生成60进制、24进制计数器(3) 控制模块:按键控制、按键消抖(4) 显示模块:7段数码管显示器,分别显示小时、分钟、秒(5) 报时模块:进行整点报时2、各个模块的设计与仿真CLK晶振频率50MHZ,分成2KHZ,1KHZ,1HZ的信号。基准1HZ信号作为时钟计时的秒计数时钟信号;分频的1KHZ,2KHZ信号用于报时电路的不同声讯。程序代码:library ieee;use ;entity fre isport(clk ,sel: in std_logic;clk1hz,clk1khz,clk2khz:out std_logic);end fre

6、;architecture beh of fre issignal data1khz,data2khz,data1hz : std_logic := 0;begin clk1hz = data1hz; clk1khz = data1khz; clk2khz = data2khz; clk1khz_pro : process(clk) -产生1khz信号 variable cnt : integer range 0 to 24999; begin if clkevent and clk=1 then if cnt = 24999 then cnt := 0 ; data1khz = not da

7、ta1khz; else cnt := cnt + 1; end if; end if; end process clk1khz_pro; clk2khz_pro : process(clk) -产生2khz信号 variable cnt : integer range 0 to 12499; begin if clkevent and clk=1 then if cnt = 12499 then cnt := 0 ; data2khz = not data2khz; else cnt := cnt + 1; end if; end if; end process clk2khz_pro; c

8、lk1hz_pro : process(data1khz) -产生1hz 信号 variable cnt : integer range 0 to 499; begin if data1khzevent and data1khz=1 then if sel=0 then cnt:=0; else if cnt = 499 then cnt := 0 ;data1hz = not data1hz ; else cnt := cnt + 1; end if; end if; end if; end process clk1hz_pro; end beh;输入模块电路图:由秒计数器,分计数器,时计数

9、器组成了最基本的数字钟计时电路,两个六十进制计数器与二十四进制计数器组合构成。程序代码:library ieee;use ;use ;use ;entity shuzizhong is counter_sec_l : process(clk_change,s_en) begin sl=low_rega;sh=high_rega;ml=low_regb;mh=high_regb;hl=low_regc;hh=high_regc; if clk_changeevent and clk_change=1 then if s_en=1 then if low_rega=1001 then low_re

10、ga = 0000; else low_rega = low_rega+1; end if; end if; end if; end process counter_sec_l; counter_sec_h : process(clk_change,s_en,low_rega) begin if clk_changeevent and clk_change=1 then if s_en=1 then if low_rega=1001 then if high_rega =0101then high_rega = 0000; else high_rega = high_rega+1; end if; end if; end if; end if; end process counter_sec_h; sou

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号