VerilogHDL复习题与答案

上传人:cn****1 文档编号:507052724 上传时间:2024-02-24 格式:DOCX 页数:9 大小:32.06KB
返回 下载 相关 举报
VerilogHDL复习题与答案_第1页
第1页 / 共9页
VerilogHDL复习题与答案_第2页
第2页 / 共9页
VerilogHDL复习题与答案_第3页
第3页 / 共9页
VerilogHDL复习题与答案_第4页
第4页 / 共9页
VerilogHDL复习题与答案_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《VerilogHDL复习题与答案》由会员分享,可在线阅读,更多相关《VerilogHDL复习题与答案(9页珍藏版)》请在金锄头文库上搜索。

1、VerilogHDL复习题与答军VerilogHDL硬件描述语言复习1. Verilog HDL是在哪一年首次被I E E E标准化的?答:Verilog HDL是在1995年首次被IEEE标准化的。2. Verilog HDL支持哪三种基本描述方式?答:Verilog HDL可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式一使用过程化结构建模;数据流方式一使用连续赋值语句方式建模;结构化方式一使用门和模块实例语句描述建模3. Verilog HDL是由哪个公司最先开发的?答:Verilog HDL 是由 Gateway Design Automation 公司最先开发的4.

2、 Verilog HDL中的两类主要数据类型什么?答:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。5. U D P代表什么?答:UDP代表用户定义原语6. 写出两个开关级基本门的名称。答:pmos nmos7. 写出两个基本逻辑门的名称。答:and or8. 在数据流描述方式中使用什么语句描述一个设计?答:设计的数据流行为使用连续赋值语句进行描述9. 采用结构描述方式描述1位全加器。答:module full_add (a, b, cin, s, co);input a, b, cin;output s, co;wire SI, T1,T2,

3、T3;xorXI (SI, a, b),X2(s,Sl, cin);# / 71andAl (T3, a, b),A2(T2, b,cin),A3 (Tl, a, cin);or01 (co, Tl, T2, T3);endmodule10. i n i t i a 1语句与always语句的关键区别是什么?答:1) initial语句:此语句只执行一次。2) always语句:此语句总是循环执行,或者说此语句重复执行。11.采用数据流方式描述2 - 4译码器。答:timescale lns/nsmodule Decoder2 X 4 (A, B, EN, Z);input A, B, EN;

4、output 0:3Z;wire abar, Bbar;assign #1 Abar= A;assign #1 Bbar=B;assign #2 Z0(Abar&Bbar&EN);assign #2 Zl=(Abar&B&EN);assign #2 Z2=(A&Bbar&EN);assign #2 Z3=(A&B&EN);endmodule1 2.找出下面连续赋值语句的错误。assign Reset=#2 SelWriteBus;答:不符合连续赋值语句的语法,应该为:assign #2 Reset =八WriteBus;二、1,下列标识符哪些合法,哪些非法?C 0 u n T, 1_2 Man

5、y, *1, Real?, wait, Initial答:COunT 合法,1_2 Many 非法,*1, Real?非法,wait 合法,Initial 合法2 .在Verilog HDL中是否有布尔类型?答:没有3 .如果线网类型变量说明后未赋值,其缺省值为多少?答:zVerilogHDL复习题与答室4 . Verilog HDL允许没有显式说明的线网类型。如果是这样,怎样决定线网类型? 答:在Verilog HDL中,有可能不必声明某种线网类型。在这样的情况下,缺省线网类型为1位线网。5 .下面的说明错在哪里?integer 0:3 Ripple;答:应该是 integer Ripple

6、 0:36 . Verilog HDL有哪几大类数据类型?答:verilog hdl有两大类数据类型:线网类型和寄存器类型。7 . Verilog HDL有哪几种寄存器类型?答:有五种不同的寄存器类型:reg、integer time、real、realtimeo三、1.假定长度为6 4个字的存储器,每个字8位,编写Verilog代码,按逆序交换存 储器的内容。即将第0个字与第6 3个字交换,第1个字与第6 2个字交换,依此类推。答:reg 7:0 mem 63:0;integer i = 0;reg 7:0 temp;while(i C 都正确(C )2 . VerilogHDL使用的是逻辑

7、是:(A)二值逻辑(B)四值逻辑(C)三值逻辑(D)八种强度(B )3 .不属于寄存器类型的是:(A) integer(B)reg(C)wand(D) time( C )4 . VerilogHDL语言中,标识符的作用范围是:(A)本模块(B)外部模块(C)所有模块(D)全局模块(A )5 .具有多个输出端口的门是:(A)and(B) or(D) not(C) nor七、1、语句内部时延与语句前时延效果是否一样?答:不一样2、当时延表达式为负数时,时延值是如何处理得到?答:取绝对值3、VeriligHDL有几种循环语句?分别采用关键字是什么?答:总共有四种循环语句,分别采用forever repeat、while、for。八、1. VerilogHDL语言和C语言的结构化语句有何不同?答:1. Verilog HDL是在C语言的基础上发展起来的,保留了 C语言的结构特点。2.C语言由函数组成,Verilog由模块(module)组成3. C语言通过函数名及其端口变 量实现调用,Verilog也通过模块名和端口变量实现调用4. C语言有主函数main (),Ver

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号