可编程逻辑器件及EDA技术可编程逻辑器件及EDA技术答案)

上传人:hs****ma 文档编号:504973300 上传时间:2022-12-31 格式:DOC 页数:3 大小:24KB
返回 下载 相关 举报
可编程逻辑器件及EDA技术可编程逻辑器件及EDA技术答案)_第1页
第1页 / 共3页
可编程逻辑器件及EDA技术可编程逻辑器件及EDA技术答案)_第2页
第2页 / 共3页
可编程逻辑器件及EDA技术可编程逻辑器件及EDA技术答案)_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《可编程逻辑器件及EDA技术可编程逻辑器件及EDA技术答案)》由会员分享,可在线阅读,更多相关《可编程逻辑器件及EDA技术可编程逻辑器件及EDA技术答案)(3页珍藏版)》请在金锄头文库上搜索。

1、一、名词解释1CPLD答:CPLD是复杂的可编程逻辑器件的缩写。2在系统编程答:在系统可编程特性(In System Programmability,ISP)是指不需要使用编程器,只需要通过计算机接口和编程电缆,直接在用户自己设计的目标系统中或线路板上,为重新构造设计逻辑而对器件进行编程或反复编程的能力。3ESB答:嵌入式系统块,用于实现存储功能。4快速通道互连答:,这些快速布线通道是一系列的横纵交错的贯穿整个器件的连线。即使在非常复杂的设计中,全局布线结构也可以提供可预计的设计性能。5建立时间答:是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间。二、 简答题1信号和变量的区别?信号变

2、量赋值符号=:=功能电路的内部连接内部数据交换作用范围全局,进程和进程之间的通信进程的内部行为延迟一定时间后才赋值立即赋值2.说明用文本输入方法设计电路的详细流程。答:MAX+PLUS的设计过程包括设计项目的建立与设计的输入、设计编译、设计校验(仿真和定时分析)、器件编程四个步骤。设计输入:HDL语言描述方式。设计编译:先根据设计要求设定编译参数和编译策略,如器件的选择、逻辑综合方式的选择等。然后根据设定的参数和策略对设计项目进行网表提取、逻辑综合和器件适配,并产生报告文件、延时信息文件及编程文件,供分析仿真和编程使用。设计校验(项目仿真):包括功能仿真、时序仿真和定时分析,可以利用软件的仿真

3、功能来验证设计项目的逻辑功能是否正确。器件编程与验证:用经过仿真确认后的编程文件通过编程器(Programmer)将设计下载到实际芯片中,最后测试芯片在系统中的实际运行性能。在设计过程中,如果出现错误,则需重新回到设计输入阶段,改正错误或调整电路后重复上述过程。3、什么是在系统可编程技术?它有什么特点?答:在系统可编程技术是指不需要使用编程器,只需要通过计算机接口和编程电缆,直接在用户自己设计的目标系统中或线路板上,为重新构造设计逻辑而对器件惊醒编程或反复编程的能力。在系统编程技术的基本特征是在器件安装到系统板上后,不需要将器件从线路板上卸下,可对器件进行直接配置,并可改变器件内的设计逻辑,满

4、足原有的PCB布局要求4、试述EDA软件系统包含那些模块。答:设计输入子模块,设计数据库子模块,分析验证子模块,综合仿真子模块,布局布线子模块等。5、在可编程逻辑电路设计中竞争和冒险是怎样产生的,如何避免。答:当某一时刻同时有一个以上的信号发生变化时容易产生毛刺;组合逻辑电路是会产生竞争冒险的。 避免方法:(1)增加延时时间短的引脚的传输路径使引脚间的传输时间相同即信号同时发生变化。(2)增加同步电路 (3)改变编码方式6、简述VHDL程序结构答:1)USE定义区2)PACKAGE定义区3)ENTITY定义区4)ARCHITECTURE定义区5)CONFIGURATION定义区7、简述WHEN

5、_ELSE条件信号赋值语句和IF_ELSE顺序语句的异同。答:WHEN_ELSE条件信号赋值语句中无标点,只有最后有分号;必须成对出现;是并行语句,必须放在结构体中。IF_ELSE顺序语句中有分号;是顺序语句,必须放在进程中。8、简述WITH_SELECT_WHEN选择信号赋值语句和CASE_WHEN 1 顺序语句的异同。答:WITH_SELECT_WHEN选择信号赋值语句中是逗号,最后是分号;是并行语句,必须放在结构体中。CASE_WHEN 顺序语句中是分号;是顺序语句,必须放在进程中。9、什么叫综合?一般综合应包含那些过程?答:综合过程就是将电路的高级语言描述转换成低级的,可与FPGA/C

6、PLD器件结构相映射的网表文件。一般综合应包含以下过程:语法检查和设计规则检查、网络表提取、逻辑优化和综合。10、简述PROCESS语句结构的三部分构成,并说明进程语句、顺序语句和信号之间的关系。答:PROCESS语句结构是由三部分构成的,即进程说明部分,顺序描述语句部分和敏感信号参数表。各个进程是并行运行的,无先后之分,必须放在结构体中;顺序语句是按顺序运行的,有先后之分,必须放在进程中;信号放在结构体和进程之间,是用以完成各个进程之间数据交换。11、简述的ASIC概念和特点。答:专用集成电路ASIC(Application Special Integrated Circuit)是相对通用集

7、成电路而言的,它是面向专门用途而设计的集成电路。它在构成电子系统是除了可使产品速度快,体积小,重量轻外,还有可靠性高,成本低,保密性强等优点。12、说明端口模式INOUT和BUFFER有何异同点。答:INOUT:双向端口;BUFFER:输出并向内部反馈。13、简述元件例化语句的作用,组成及格式。答:把已经设计好的设计实体称为一个元件或一个模块,它可以被高层次的设计引用。引用时就会用到元件声明和元件例化语句。二者缺一不可。1)元件声明COMPONENT 元件实体名PORT (元件端口信息);END COMPONENT;2)元件例化例化名:元件名 PORT MAP (端口列表)三、叙述题1什么是边

8、界扫描测试技术?它解决什么问题?答:边界扫描测试技术(Boundary Scan Testing,BST),主要用于解决可编程逻辑器件芯片的测试问题。这种测试可在器件正常工作时捕获功能数据。器件的边界扫描单元能够迫使逻辑追踪引脚信号,或是从引脚或器件核心逻辑信号中捕获数据。强行加入的测试数据串行地移入边界扫描单元,捕获的数据串行移出并在器件外部同预期的结果进行比较。标准的边界扫描测试只需要五根信号线,即TDI(测试数据输入)、TDO(测试数据输出)、TRST(测试复位输入)TMS(测试模式选择)和TCK(测试时钟输入),TRST能够对电路板上所有支持边界扫描的芯片内部逻辑和边界管脚进行测试。应

9、用边界扫描技术能够增强芯片、电路板甚至系统的可测试性。2设计时怎样选择CPLD和FPGA芯片?答:从以下几个方面进行选择:1逻辑单元CPLD中的逻辑单元是大单元,通常其变量数约2028个。FPGA逻辑单元是小单元,其输入变量数通常只有几个,2内部互连资源与连线结构 FPGA单元小、互连关系复杂,所以使用的互连方式较多。CPLD不采用分段互连方式,它使用的是集总总线。3编程工艺CPLD属于只读(ROM)型编程,可以反复编程,但它们一经编程,片内逻辑就被固定,如果数据改变就要进行重新擦写。FPGA芯片采用RAM型编程,功耗低,但掉电后信息不能保存,必须与存储器联用。每次上电时须先对芯片配置,然后方可使用。4规模逻辑电路在中小规模范围内,选用CPLD价格较便宜,能直接用于系统。对于大规模的逻辑设计,则多采用FPGA.5FPGA和CPLD封装形式的选择FPGA和CPLD器件的封装形式很多。同一型号的器件可以多种不同的封装。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 习题/试题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号