序列检测器verilog

上传人:新** 文档编号:496714174 上传时间:2023-06-29 格式:DOC 页数:4 大小:147KB
返回 下载 相关 举报
序列检测器verilog_第1页
第1页 / 共4页
序列检测器verilog_第2页
第2页 / 共4页
序列检测器verilog_第3页
第3页 / 共4页
序列检测器verilog_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《序列检测器verilog》由会员分享,可在线阅读,更多相关《序列检测器verilog(4页珍藏版)》请在金锄头文库上搜索。

1、五邑大学实验报告实验课程名称序列检测器院系名称:信息学院专业名称:电子信息工程实验项目名称:EDA实验班级ap07053学号:ap0705307扌报告人:黃少昌实验五序列检测器一、实验目的:1 掌握用实现状态机的方法;2 利用状态机设计一个序列检测器。二、实验原理:序列检测器在数据通讯,雷达和遥测等领域中用与检测步识别标志。它是一种用来检测一组或多组序列信号的电路。例如检测器收到一组串行码后,输出标志,否则,输出。考查这个例子,每收到一个符合要求的串行码就需要用一个状态进行记忆。串行码长度为7位,需要7个状态;另外,还需要增加一个“未收到一个有效位”的状态,共个状态;,状态标记符的下标表示有几

2、个有效位被读出。画出状态转换图,如图5-所1示,很显然这是一个莫尔状态机。8个状态机根据编码原则可以用位二进制数来表示。三、设计任务及要求:,用状态机实现一序列检测器,即检测到串行码后,检测器输出,否则输出;2 设计输入采用语言;3 对设计进行仿真;4 把设计下载到试验箱验证。四、设计提示:,、状态机是实验时序电路的有效工具,用状态机实现时序检测器就是典型例子;2状态机的实现基本有固定模式,参见教程数字系统设计与0(第二版)第页。3、状态机实现的要点是在每个状态下,当时钟有效沿到来时,判断输入值是什么,然后决定下一状态跳转到什么地方。五、给出设计的源程序:六、给出序列检测器的仿真波形图:七、心

3、得体会:此次实验,我觉得既锻炼了我们的设计,由简单的抽象理解到实际认知。特别的是使用M2软件的仿真使我对它们的功能有了形象的认识,而且还可以帮助我们检查出错误,为以后的工作需要奠定了一定的基础。在这次实验中,也要感谢同学的帮助,相互讨论中也使我学习了他们不同的思考方式,使我明白了团体合作是很重要的。通过实验,我们真正体会到带来的方便;通过仿真,我们能够很形象地了解到原理图的功能。体验到真实实验中的情境,增加了对电子实验和电子设计的信心。通过本设计,进一步加深了对语言的理解及应用,理解了数码管的工作和译码的整个思路。用语言来设计电路,思路更清晰,更简洁,实现起来更加的得心应手。这就是电子系统最好的体现八、思考题:1状态机处于状态时,若再输入一个“”为什么状态机仍停留在状态,而不是其他状态?答:因为连续四个1了,而检测要求的是三个1;所以检测还是当作连续三个1来算。2、什么叫一点热码编码状态机?答:一位热码编码。采用这种编码方式,相对于格雷码来说虽然增加了触发器,但是节省了组合电路。提高了电路的速度和可靠性。例如5位的热码编码(。与格雷码一样都是一位进行变化,唯一不同的是,一位热码是不需要进行逻辑运算的。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号