EDA实验报告实验四:交通灯控制器设计

上传人:新** 文档编号:496477047 上传时间:2023-08-21 格式:DOC 页数:10 大小:340.50KB
返回 下载 相关 举报
EDA实验报告实验四:交通灯控制器设计_第1页
第1页 / 共10页
EDA实验报告实验四:交通灯控制器设计_第2页
第2页 / 共10页
EDA实验报告实验四:交通灯控制器设计_第3页
第3页 / 共10页
EDA实验报告实验四:交通灯控制器设计_第4页
第4页 / 共10页
EDA实验报告实验四:交通灯控制器设计_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《EDA实验报告实验四:交通灯控制器设计》由会员分享,可在线阅读,更多相关《EDA实验报告实验四:交通灯控制器设计(10页珍藏版)》请在金锄头文库上搜索。

1、实验四 交通灯控制器设计一、实验目的1、 学习与日常生活相关且较复杂数字系统设计;2、进一步熟悉EDA实验装置和Quartus软件的使用方法;3、学习二进制码到BCD码的转换;4、学习有限状态机的设计应用。二、设计要求完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:1、 有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;2、 交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;3、 乡间公路右侧各埋有一个串连传感器,当有车

2、辆准备通过乡间公路时,发出请求信号S=1,其余时间S=0;4、 平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干道通行大于一分钟后才能转换;5、 一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进入MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟;6、 控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计时数码管显示。三、 主要仪器设备1、 微机1台2、 QuartusII集成开发软件1套3、 EDA实验装置 1套四、实验思路1、设计一个状态寄存器,

3、控制六盏灯的亮与灭2、设计一个计时器,控制各状态的持续时间,计时器应满足以下要求: 1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模 20计数,再进入模4计数,再回到模60计数 2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数, 再进入模60计数 3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数 4)若计数器未完成模60计数,不论S如何变话,计数器将继续进行模60 计数3、设计一个译码显示电路,将计时器的八位BCD码转化为数码管可以显示的段位码。通过动态扫描电路实现。4、设计一个分频器,将扫描频率分频为基准频率,提供计时器,状

4、态器,译码显示的钟控。5、使用文本设计4个底层文件,并生成相应元器件,再使用原理图设计顶层文件五、实验步骤 1、建立工作库文件夹和编辑设计文件 1)建立一个文件夹保存工程文件;2)打开QuartusII,建立新的VHDL文件,再打开的页面下输入以下程序控制6盏灯的模块代码:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY jtd ISPORT(clk,RESET,S:IN STD_LOGIC; COUNT:IN STD_LOGIC_VECTOR(7 DOWNTO 0); MG,MY,

5、MR,CG,CY,CR:OUT STD_LOGIC);END jtd;ARCHITECTURE behav OF jtd IS TYPE jtdSTATE IS(mgcr,mycr,mrcg,mrcy); SIGNAL STATE:jtdSTATE;BEGIN PROCESS(RESET,STATE,clk, S) BEGIN IF RESET=1 THEN STATE=mgcr;MG=1;CR=1;MY=0;MR=0;CG=0;CYIF COUNT=00000001 AND S=1THEN STATE=mycr;MY=1;CR=1;MG=0;MR=0;CG=0;CY=0; ELSE STAT

6、E=mgcr;MG=1;CR=1;MY=0;MR=0;CG=0;CYIF COUNT=00000001 THEN STATE=mrcg;MR=1;CG=1;MG=0;MY=0;CR=0;CY=0; ELSE STATE=mycr;MY=1;CR=1;MG=0;MR=0;CG=0;CYIF COUNT=00000001 OR S=0THEN STATE=mrcy;MR=1;CY=1;MY=0;MG=0;CR=0;CG=0; ELSE STATE=mrcg;MR=1;CG=1;MG=0;MY=0;CR=0;CYIF COUNT=00000001 THEN STATE=mgcr;MG=1;CR=1;

7、MR=0;MY=0;CY=0;CG=0; ELSE STATE=mrcy;MR=1;CY=1;MY=0;MG=0;CR=0;CG=0; END IF; END CASE; END IF; END PROCESS; END behav;控制数码管显示倒计时数并且输出:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JISHU IS PORT(clk,S:IN STD_LOGIC; tim:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END JISHU;ARCHIT

8、ECTURE behav OF JISHU IS TYPE RGY IS(mgcr,mycr,mrcg,mrcy); SIGNAL STATE:RGY;BEGIN PROCESS(clk) VARIABLE b:STD_LOGIC:=0; VARIABLE a:STD_LOGIC:=0; VARIABLE th,tl:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN -IF RESET=1 THEN STATE IF S=1 AND b=1 THEN STATE=mycr;a:=0;th:=0000;tl:=0100;b:=0; ELSIF S=0 AND b=1 THEN

9、 STATE IF a=0 THEN th:=0000;tl:=0100;a:=1; else IF NOT(th=0000 AND tl=0010) then tl:=tl-1; ELSE th:=0000;tl:=0001;a:=0;STATEIF S=1 THEN IF a=0 THEN th:=0010;tl:=0000;a:=1; else IF NOT(th=0000 AND tl=0010) then IF tl=0000 then tl:=1001;th:=th-1; else tl:=tl-1; END IF; ELSE th:=0000;tl:=0001;a:=0;STATE=mrcy;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 幼儿/小学教育 > 小学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号