(完整word版)4位全加器实验报告

上传人:博****1 文档编号:489617708 上传时间:2023-12-07 格式:DOC 页数:5 大小:170KB
返回 下载 相关 举报
(完整word版)4位全加器实验报告_第1页
第1页 / 共5页
(完整word版)4位全加器实验报告_第2页
第2页 / 共5页
(完整word版)4位全加器实验报告_第3页
第3页 / 共5页
(完整word版)4位全加器实验报告_第4页
第4页 / 共5页
(完整word版)4位全加器实验报告_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《(完整word版)4位全加器实验报告》由会员分享,可在线阅读,更多相关《(完整word版)4位全加器实验报告(5页珍藏版)》请在金锄头文库上搜索。

1、四位全加器11微电子 黄跃 1117426021【实验目的】采用modelsim集成开发环境,利用verilog硬件描述语言中行为描述模式、 结构描述模式或数据流描述模式设计四位进位加法器。【实验内容】加法器是数字系统中的基本逻辑器件。多位加法器的构成有两种方式:并行 进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法 器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器 的资源占用差距也会越来越大。实现多位二进制数相加的电路称为加法器,它能解决二进制中1+ 1= 10的功 能(当然还有

2、0 + 0、0+ 1、1 + 0).【实验原理】全加器除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图4为全加器的方框图。图5全加器原理图。被加数A、加数B从低位向本位进位 G作 为电路的输入,全加和 S与向高位的进位C作为电路的输出。能实现全加运算 功能的电路称为全加电路。全加器的逻辑功能真值表如表2中所列。信号输入端信号输出端AiBiCiSiCi000000011001010011b 1110010101011100111111表2全加器逻辑功能真值表林向离整谡他*歸伞加和】图4全加器方框图图5全加器原理图多位全加器连接可以是逐位进位, 也可以是超前进位。逐位进位也称串行进 位

3、,其逻辑电路简单,但速度也较低。四位全加器如图9所示,四位全加器是由半加器和一位全加器组建而成:图9四位全加器原理图【实验步骤建立新工程项目:打开modelsim软件,进入集成开发环境,点击 File New project建立个工程项目adder_4bit。建立文本编辑文件:点击File New在该项目下新建 Verilog 源程序文件adder_4bit.v并且输入源程序。(2)编译和仿真工程项目:在verilog 主页面下,选择Compile Compile All或点击工具栏上的按钮 启动编译,直到project出现status栏全勾,即可进行仿真。选择simulate - start

4、 simulate 或点击工具栏上的按钮开始仿真,在跳出 来的start simulate 框中选择 work-test_adder_4bit 测试模块,同时撤销 Enable Optimisim前的勾,之后选择ok。在sim-default 框内右击选择test_adder_4bit ,选择 Add Wave然后选择 simulate-ru n-ru nall,观察波形,得出结论,仿真结束。四位全加器1、原理图设计如图9所示,四位全加器是由半加器和一位全加器组建而成: I 11 ci :Q:1匸他:-T蚌*或側匚二应-O JO图9四位全加器原理图【仿真和测试结果】下图为四位全加器的仿真图:图

5、10仿真图fll【程序源代码】1位全加器程序代码如下:module f_adder(a,b,ci n,sum,cout);output sum,cout;in put a,b,ci n;wire s1,c1,c2;xor(s1,a,b);an d(c1,a,b);or(sum,s1,ci n);an d(c2,s1,c in);xor (cout,c2,c1);en dmodule四位全加器程序代码如下:module adder_4bit(s,co,a,b,ci);output3:0 s;output co;in put3:0 a,b;in put ci;wire ci1,ci2,ci3;f_

6、adder f0(a0,b0,ci,s0,ci1);f_adder f1(a1,b1,ci1,s1,ci2);f_adder f2(a2,b2,ci2,s2,ci3);f_adder f3(a3,b3,ci3,s3,co);En dmodule四位全加器测试程序代码如下:module test_adder_4bit;reg 3:0 A,B;reg CI;wire 3:0 S;wire CO;adder_4bit A1(S,CO,A,B,CI);in itialbeg in$mo nitor($time,A=%b,B=%b,CI=%b,CO=%b,S=%bn,A,B,CI,CO,S);endin itialbegi nA=4dO;B=4dO; CI=1B0;#5 A=4d3;B=4d4;#5 A=4d2;B=4d5;#5 A=4d9;B=4d9;#5 A=4d10;B=4d15;#5 A=4d10;B=4d5;CI=1b1;enden dmodule【实验心得和体会】通过设计4位全加器,熟练了原理图输入方法,学会使用Verilog语言输入 方法,也能较灵活地使用modlesim软件。由时序图可以看出实现了 4位全加器的功能,Verilog的程序设计是正确的, 实验是成功的。#

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 商业计划书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号