VHDL作业及答案1

上传人:s9****2 文档编号:487994857 上传时间:2023-06-28 格式:DOC 页数:10 大小:50KB
返回 下载 相关 举报
VHDL作业及答案1_第1页
第1页 / 共10页
VHDL作业及答案1_第2页
第2页 / 共10页
VHDL作业及答案1_第3页
第3页 / 共10页
VHDL作业及答案1_第4页
第4页 / 共10页
VHDL作业及答案1_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《VHDL作业及答案1》由会员分享,可在线阅读,更多相关《VHDL作业及答案1(10页珍藏版)》请在金锄头文库上搜索。

1、第4节 PPT课件作业.VHL程序一般涉及几种构成部分?各部分的作用是什么? 实体,构造体,库,程序包,配备实体:用于描述所设计系统的外部接口特性;即该设计实体对外的输入、输出端口数量和端口特性。构造体:用于描述实体所代表的系统内部的构造和行为;它描述设计实体的构造、行为、元件及内部连接关系。库:寄存已经编译的实体、构造体、程序包集合和配备。程序包:寄存各设计模块都能共享的数据类型、常数和子程序库;配备:实体相应多种构造体时,从与某个实体相应的多种构造体中选定一种作为具体实现。2端口模式有哪几种?buer类型与inout类型的端口有什么区别?Out,in, inout, beou(输出):只能

2、被赋值,用于不能反馈的输出;in (输入):只能读,用于时钟输入、控制输入单向数据输入;inut(输入输出) :既可读又可被赋值,被读的值是端口输入值而不是被赋值,作为双向端口。ufer(缓冲):类似于输出,但可以读,读的值是被赋值,用做内部反馈用,不能作为双向端口使用。.下列标记符中,哪些是非法的?Led3 coder 1 end por std_mchine 2ader decodr*8an_2_ decdr_1 and2 ad_ and_ _2 and24.指出下面的实体描述中存在的到处语法错误并改正 LBRARY IEEE; SEIEEESTD_LOGIC_114.AL; NTIT m

3、ux1a IS POR(,b, s: N BIT ; y : T BIT;) ; (1.删除括号内的分号)EENTT mux;(2改为mx21) ARCHTEURE oe OF mu1a IS BEIN POCSS(a,) Bgin if s ten = ; e y=b ; enif; nd procss (结束少了一种分号)ENDACHTETRE n ; (nne改为ne).写出下图所示的设计实体mx41的实体声明。所有端口都采用it或b_vecr类型。 mux41AaBAaCBAaDCBAaSEL1.0QDCBAa NTITYmux1IS PORT( A, , ,D : N IT; SEL

4、 : I BIT_CTO(1 WN0) ; Q : OUT BIT) ; N ENTIY m4;6.体现式C=+B中,A、C的数据类型都是SD_LOGI_VECTOR,与否能直接进行加法运算?阐明因素和解决措施。 答:不能直接进行加法运算。由于号只能对整数类型进行直接相加,如果要对SD_GIC_VECOR数据类型进行+法操作,需要调用运算符重载,即在程序的开头打开IESD_LOGIC_USIGND.ALL程序包,或者把TD_LOIC_CTR数据类型改为整数类型。.能把任意一种进制的值向一整数类型的对象赋值吗?如果能,如何做?答:能。见书上P3页8.判断下列VD的数值表达与否合法,如果有误指出因

5、素 16#0F# 0#12F# 8#78 8#36 2#010019.数据类型BIT,INTE,BOLAN分别定义在那个库中?哪些库和程序包总是可见的?答:BI,NTEGR,OOLEN分别定义在STD库的TANDAR程序包中(见书上P32-325) ORK库,SD库总是可见的(P31-37)11.DL语言数据对象有哪几种?作用范畴如何?对其赋初值作用有何不同? 答:VHL语言数据对象有信号,变量,常量。要回答的特别具体12.判断下面三个程序中与否有错误,若有则指出错误所在。程序1:sgal a,en: td_ogic ;proes(a,e) aril b:td_lic;begin if en=

6、 hen b= ; (错误2处。1.en 为dlc类型,此类型赋值为=1;2. 为变量,变量赋值采用:= ) endi ;end pross;程序2:rchtect oe o amles varilea,b,c: tee ;(构造体里面不能定义变量,只能定义信号)bein =a+b ;edarchitecure one;程序3:ibrary ieee;U ieee.stdogic_164.al;niymux21 is Port (,b : in s_logc;el: is_ogic; :ut tdlic);endEntt am2;(1.am改为mx21)achitecure ef saplei

7、s (2. sample改为mux1) gn pocs(a,b.l) (6.语句应当放在rcess中) bgi i e=hnc:=a; (3.端口赋值采用= ,改为=a)els :=b; (4.端口赋值采用= ,改为c=b)ed if;end pross ; d actectre; (5. tw改为mux21)13.在VHDL中,如何描述时钟信号上升沿和下降沿?请分别列举两种不同的措施14论述进程语句的语法格式,并阐明各构成部分的功能和作用。(回答要具体) pos(敏感信号表) 进程阐明部分 begi 顺序解决语句 ed pocess标号;1. 敏感信号表内为信号列表,该表内的信号的变化将引起

8、进程的执行。多数HDL综合器规定敏感信号表必须列出本进程中所有输入信号名。2. 进程阐明用来定义在该进程中需要用到的局部量,如变量、常数等,在此处定义的变量是局部量,只能在该进程中使用,其她地方不能使用。特别强调在进程阐明部分只能定义局部变量,不能定义信号和共享变量。3. 顺序描述语句是一段顺序执行的语句,具体描述进程的行为.如:信号赋值,变量赋值,i语句,ca语句等。1.进程的敏感信号表具有什么作用?列出敏感信号时应注意什么?(回答要具体)答:敏感信号表中有多种敏感信号时,其中任一种信号的变化都会引起进程启动,写敏感信号表时,尽量将在进程中被读取的信号列全。若无敏感信号表,就必须放一种WAI

9、T语句在进程内作为进程启动语句.进程设计要点是什么? (回答要具体) PROCSS为一无限循环语句 O中的顺序语句具有明显的顺序/并行运营双重性进程内部只能加载顺序语句,但进程自身是并行语句出目前构造体中,它与其她并行构造或进程之间在构造体中是并行运营的 进程语句自身是并行语句 一种进程中只容许描述相应于一种时钟信号的同步时序逻辑 进程必须由敏感信号的变化来启动敏感信号表中有多种敏感信号时,其中任一种信号 的变化都会引起进程启动,写敏感信号表时,尽量将在进程中被读取的信号列全。无敏感信号表,就必须放一WAIT语句在进程内作为进程启动语句 信号是多种进程间的通信线在构造体中多种进程可以并行运营,

10、多种进程之间的通 信是通过信号来实现。因此,在任一进程的进程阐明部分不容许定义信号第5节 T课件作业1. 顺序语句和并行语句分别有哪些?顺序语句和并行语句重要有什么区别?2. 用IF和w-es语句编写全加器(自己结合PPT,编程实现。)3. 用元件例化法实现位加法器。(自己结合2位加法器的措施,编程实现。)4. 阅读下面的程序,分析其实现的逻辑功能,并阐明是时序逻辑还是组合逻辑 lary eee;eieest_lgic_164.ll;itdecder i Pot (:n stdlog_ve(9 dnt 0);c : out inege rag t 9);end Entiy deoer ;arc

11、hiectre one fecoe s eginwt a selctc=0 when“” , when “” ,2when “”,3 when “” ,4 whn“” ,5 whe “” ,6 when“”, wen “” ,8when “”,9 hen “” ,0he thers ;en arctecture one;组合电路:译码电路。从低位到高位依次判断10位2进制数的哪一位是有效数字1,并输出有效数字所在数据位置。5. 构造体的描述方式有几种方式?各有什么特点?1.行为描述方式:只需描述输入与输出的行为,不关注具体的电路实现,一般通过一组顺序的VDL进程来反映设计的功能和算法;2.数据流描述方式:这种描述将数据当作从设计的输入端到输出端,通过并行语句表达这些数据形式的变化,即信号到信号的数据流动的途径和形式进行描述;.构造描述方式:多用在多层次的设计中,通过调用库中得元件或已经设计好

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号