广东海洋大学EDA实验报告

上传人:M****1 文档编号:485717721 上传时间:2022-12-09 格式:DOC 页数:47 大小:2.60MB
返回 下载 相关 举报
广东海洋大学EDA实验报告_第1页
第1页 / 共47页
广东海洋大学EDA实验报告_第2页
第2页 / 共47页
广东海洋大学EDA实验报告_第3页
第3页 / 共47页
广东海洋大学EDA实验报告_第4页
第4页 / 共47页
广东海洋大学EDA实验报告_第5页
第5页 / 共47页
点击查看更多>>
资源描述

《广东海洋大学EDA实验报告》由会员分享,可在线阅读,更多相关《广东海洋大学EDA实验报告(47页珍藏版)》请在金锄头文库上搜索。

1、 EDA技术与应用实验报告专 业 软件工程班 级 学 号 姓 名 指导老师 完成日期 2019年1月4日 成绩: 目 录实验一 开关、数码管和多路选择器4Part141.实验内容:42.实验设计:43.实验过程:44.实验结果:4Part251.实验内容:52.实验设计:53.实验过程:54.实验结果:5Part351.实验内容52.实验设计63.实验过程64.实验结果7Part471.实验内容72.实验设计73.实验过程84.实验结果8Part591.实验内容:92.实验设计:93.实验过程:104.实验结果:12实验二 数字和显示15Part1151.实验内容152.实验设计153.实验过

2、程164.实验结果17Part2181.实验内容182.实验设计183.实验过程204.实验结果21Part3221.实验内容222.实验设计223.实验过程234.实验结果24Part4241.实验内容242.实验设计243.实验过程254.实验结果27Part5271.实验内容272.实验设计:273.实验过程284.实验结果29Part7301.实验内容:302.实验设计:303.实验过程:324.实验结果:35实验三 触发器、翻转和锁存器37Part1371.实验内容372.实验设计373.实验过程:374.实验结果38Part2381.实验内容382.实验设计383.实验过程394.

3、实验结果39Part3401.实验内容402.实验设计403.实验过程404.实验结果41Part4411.实验内容412.实验设计42Part5431.实验内容:432.实验设计:433.实验过程:444.实验结果:46实验一 开关、数码管和多路选择器Part11.实验内容:通过SW0-17控制LEDR的亮灭2.实验设计:SW输入直接接LEDR3.实验过程:代码如下:LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY LabIPartI ISPORT ( SW: INSTD_LOGIC_VECTOR(17 DOWNTO 0); LEDR : OUT

4、STD_LOGIC_VECTOR(17 DOWNTO 0);END LabIPartI;ARCHITECTURE Behavior OF LabIPartI IS BEGINLEDR = SW;END Behavior;4.实验结果:SW(1)=0.其余为1结果:LEDR(1)=1Part21.实验内容:二选一选择器2.实验设计:两个输入x,y 一个控制信号s 输出z3.实验过程:代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux21 ISPORT(X,Y:IN STD_LOGIC; S :IN STD_LOGIC; Z :OUT

5、STD_LOGIC);END mux21;ARCHITECTURE BEV_MUX21 OF mux21 ISBEGINZ=X WHEN S=0 ELSE Y;END BEV_MUX21;4.实验结果:仿真图:x ,y都输入1,选择信号为1选择y,故z=1;Part31.实验内容利用二选一选择器组合成3位五选一的选择器,电路图1所示,真值表图2图1图22.实验设计设计思路:利用四个二选一选择器组合成一个三位五选一3.实验过程LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux3b51 IS PORT(D4,D3,D2,D1,D0:IN STD_

6、LOGIC_VECTOR(2 DOWNTO 0); s2,s1,s0:IN STD_LOGIC; Y0:OUT STD_LOGIC_VECTOR(2 DOWNTO 0) );END mux3b51;ARCHITECTURE bev OF mux3b51 IS COMPONENT mux3b21 PORT ( a,b:in std_logic_VECTOR(2 DOWNTO 0); s:in std_logic; y:out std_logic_VECTOR(2 DOWNTO 0) ); END COMPONENT; SIGNAL E1,E2,E3: STD_LOGIC_VECTOR(2 DOW

7、NTO 0);BEGINU1:mux3b21 PORT MAP(D0,D1,s0,E1);U2:mux3b21 PORT MAP(D2,D3,s0,E2);U3:mux3b21 PORT MAP(E1,E2,s1,E3);U4:mux3b21 PORT MAP(E3,D4,s2,Y0);END bev;4.实验结果仿真图:Part41.实验内容把输入的三位二进制数转换成一个十进制数在7段数码管上显示2.实验设计设计思路:把利用编码器,把三位二进制数转换成7位二进制数。3.实验过程代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY numbe

8、r0to9 ISPORT (IN0,IN1,IN2,IN3:IN STD_LOGIC; OUTPUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END number0to9;ARCHITECTURE BEV_OF_NUMBER OF number0to9 ISSIGNAL SEL:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN SEL=IN3&IN2&IN1&IN0; OUTPUT=1000000 WHEN SEL=0000 ELSE 1111001 WHEN SEL=0001 ELSE 0100100 WHEN SEL=0010 ELSE 011

9、0000 WHEN SEL=0011 ELSE 0011001 WHEN SEL=0100 ELSE 0010010 WHEN SEL=0101 ELSE 0000010 WHEN SEL=0110 ELSE 1111000 WHEN SEL=0111 ELSE 0000000 WHEN SEL=1000 ELSE 0010000 WHEN SEL=1001 ELSE 1111111;END BEV_OF_NUMBER;4.实验结果仿真图:Part51.实验内容:考虑图七所示的电路,利用一个3位宽的5选1多路选择器来在7段数码管显示5个字符,使用第四部分的7段解码器,该电路可以显示H、E、L、

10、O和“空白”字符。使用开关SW14-0根据表一设置字符代码,通过设置开关SW17-15选择特定字符进行显示。本次实验要求使用第三部分和第四部分实验中的电路作为子电路,你需要扩展图8中的代码,以便它使用五个七段数码管显示,而不仅仅是一个。你需要使用每个子电路实例。并且当开关SW17-15被切换时,能够在显示器上循环改单词,表2即为所示的输出模式。表22.实验设计:顶层图:依照表2的输出模式,即每一列对应一个字母选择,由名mux51的选择器选择五个译码器的输出结果。图中的每个译码器输入输出对应图1真值表。设计:五选一选择器由四个二选一选择器构成。五个字母在七段共阴极数码管显示利用第四部分的译码器组

11、合而成。可通过SW2-0让五个字母循环显示译码器真值表如图:图13.实验过程:顶层图代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY part5 ISPORT(SW:IN STD_LOGIC_VECTOR(17 DOWNTO 0); HEX0,HEX1,HEX2,HEX3,HEX4:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END part5;ARCHITECTURE BEVPART5 OF part5 ISCOMPONENT char1 -第一个字母元件例化PORT(INPUT1:IN STD_LOGIC_VECTO

12、R(2 DOWNTO 0); OUT1:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END COMPONENT;COMPONENT char2 -第二个字母元件例化PORT (INPUT2:IN STD_LOGIC_VECTOR(2 DOWNTO 0); OUT2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END COMPONENT;COMPONENT char3 -第三个字母元件例化 PORT(INPUT3:IN STD_LOGIC_VECTOR(2 DOWNTO 0); OUT3:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)

13、; END COMPONENT; COMPONENT char4 -第四个字母元件例化PORT(INPUT4:IN STD_LOGIC_VECTOR(2 DOWNTO 0); OUT4:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); END COMPONENT; COMPONENT char5 -第五个字母元件例化PORT(INPUT5:IN STD_LOGIC_VECTOR(2 DOWNTO 0); OUT5:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); END COMPONENT; COMPONENT mux51 -五选一选择器例化PORT(S,U,V,W,X,Y:IN STD_LOGIC_VECTOR(2 DOWNTO 0); -S为控制信号 M:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); END

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号