吴超电子密码锁改过后

上传人:hs****ma 文档编号:473058520 上传时间:2022-12-14 格式:DOCX 页数:32 大小:464.84KB
返回 下载 相关 举报
吴超电子密码锁改过后_第1页
第1页 / 共32页
吴超电子密码锁改过后_第2页
第2页 / 共32页
吴超电子密码锁改过后_第3页
第3页 / 共32页
吴超电子密码锁改过后_第4页
第4页 / 共32页
吴超电子密码锁改过后_第5页
第5页 / 共32页
点击查看更多>>
资源描述

《吴超电子密码锁改过后》由会员分享,可在线阅读,更多相关《吴超电子密码锁改过后(32页珍藏版)》请在金锄头文库上搜索。

1、一、设计思想本设计采用EDA技术和VHDL语言设计了一种按键输入密码并数码管回显,当输入正确密码时轰动绿灯亮、红灯熄灭表示开锁,而当输入错误密码时,红灯亮、绿灯熄灭表示关锁。根据系统设计要求,系统设计采用自顶向下的设计方法。1.基本原理在本实验中采用的是VHDL编程,通过文本编辑方式建立模块,通过原理图方式将生成的图形符号连接,然后再下载,进行硬件的仿真。密码锁要达到的功能为:为达到密码锁的以上功能,可将电子密码锁分为以下几个模块:密码锁输入电路、密码锁控制电路、LED输出显示电路。、密码输入:每按下一个数字键,就输入一个数值,并在显示器上显示出该数值。同时将先前输入的数据依次左移一个数字位置

2、。程序下载后系统进入原始状态(原始密码000000),按下键8发光二极管8(绿灯)亮、法官二极管7(红灯)灭。当要重新设置密码时,直接输入自己想要设置的密码并按下键8,持续5S,数码管8由0变为1,此时7段数码管1、2、3、4、5、6对应显示新设置的密码。当要输入密码时,按下键7,先将密码清零,再随机输入一组6位密码,假如密码正确,发光二极管8(绿灯)立即亮;反之如不正确,等待5S,发光二极管7(红灯)亮并由蜂鸣器发出20S的报警信号。、密码清除:按下清除键可清除前面所有的输入值,清除成为“0000”。、密码更改:按下更改键可将目前数据设定为新的密码。、密码上锁:按下上锁键可将密码锁上锁。、密

3、码解除:按下解除键首先检查输入的密码是否正确,密码正确即解锁。2.设计框图为达到密码锁的以上功能,可将电子密码锁分为以下几个模块:密码锁输入电路、密码锁控制电路、LED输出显示电路。和报警电路等四部分组成顶层设计采用原理图设计方式,系统的整体组装设计原理图如图1所示。密码模块校对模块5S延时脉冲模块红灯灭绿灯亮绿灯灭红灯亮20S报警20延时脉冲模块关锁开锁图1 系统整体组装设计原理图二、设计步骤和调试过程1、模块设计和相应模块代码(1)分频由于要产生5秒、20秒的计时信号,故对系统时钟clk_1k进行分频来得到1Hz的时钟clk。其模块及部分程序如下:图2 分频模块process(clk_1k

4、)variable count:std_logic_vector(9 downto 0);beginif(clk_1kevent and clk_1k=1) thencount:=count+1;end if;clk=count(9);end process;仿真波形如下:图3 分频仿真图(2) 设置密码本模块主要是将设置的密码锁存到中间变量ram中去,同时控制灯的变化,由于这里的灯并不能作为最终的输出,所以这里先用led_r_temp1、led_r_temp2代替。其模块及部分程序如下:图4 设置密码模块process(enter1,set)beginif(enter1event and e

5、nter1=1) thenif(set=0) thenram=datain;led_r_temp1=1;led_g_temp1=0;else led_r_temp1=0;led_g_temp1=0;end if;end if;end process;仿真波形如下: 图5 设置密码仿真图(3) 输入密码时第一个按键判断信号本模块主要在密码输入下,当第一个按键按下时产生一个judge信号(高电平有效),其模块及部分程序如下:图6 判断信号模块process(set,clk_1k,datain)beginif(clk_1kevent and clk_1k=1) thenif(set=0) then

6、judge=0;elsif(set=1) thenif(datain(0) or datain(1) or datain(2) or datain(3)=0) then judge=0;els if(datain(0) or datain(1) or datain(2) or datain(3)=1) thenjudge=1;else judge=0;end if;end if;end if;end process;仿真波形如下: 图7 判断信号仿真图从仿真波形上看,当按键按下后judge信号由0变为1,但是当按键重新弹回0时,judge信号又回到0,所以需对judge高电平信号进行锁存: 图

7、8 锁存模块signal temp:std_logic:=0;beginprocess(judge)begin if(judgeevent and judge=1) thentemp=1;end if;end process;仿真波形如下:图9 锁存模块仿真图(4) 5秒计时信号该模块是产生一个5秒计时的信号state,5秒期间为1,当5秒时间过去后state变为0,并作为报警信号。其模块及部分程序如下:图10 5秒计时信号模块signal count_5:std_logic_vector(2 downto 0);signal state1:std_logic;beginprocess(clk

8、)beginif(clkevent and clk=1) then if(judge_load=1) then 第一个按键按下if(count_5=101) thencount_5=101;else count_5state1state1state1state1state1state1=0;end case;end process;确保在set置为1而第一个按键没有按下时,state为高电平,即刚进入输入密码状态而第一个按键没有按下时state为高电平。仿真波形如下:图11 5秒计时模块仿真图根据仿真波形可以看出,set为0时设置密码,当set为1时,在judge_load(按键识别信号)为0

9、的情况下,state为1,保证下面将要提及的报警模块不会工作,而只有当judge_load为1,且5秒过后state变为0时才有可能发出报警信号(密码输错的情况下)。(5) 开锁信号的产生本模块主要是对输入的密码进行判断,一旦密码输入正确,产生一个开锁信号unlock(高电平有效)。其模块与部分程序如下: 图12 开锁信号模块process(enter2)begin if(enter2event and enter2=1) then输入密码确认if(set=1) then if(state=1) then5秒期间if(datain=ram) thenunlock=1;开锁信号else unlo

10、ck=0;end if;end if;end if;end if;end process;输入正确密码仿真波形:图13 开锁信号仿真图输入错误密码仿真波形: 图14 开锁信号仿真图(6) 报警模块本模块主要是在5秒限制时间结束时,如果还没有开锁,就产生报警信号。即长达20秒的声光信号。这里的报警信号指示灯用led_r_temp3表示,扬声器用speaker_temp2表示。其模块及部分程序如下: 图15 报警模块signal count_20:std_logic_vector(4 downto 0);signal temp:std_logic; beginprocess(clk)beginif

11、(clkevent and clk=1) thenif(set=0)thentemp=0;elsif(set=1) thenif(state=0) thenif(count_20=10100) then count_20=10100;temp=0;else count_20=count_20+1;temp=1;speaker_temp2=clk_1k;end if;end if;end if;end if;end process;仿真波形如下:图16 报警模块仿真图可见当state由1变为0且没有unlock信号时,输出20秒的声光信号以示报警。(7) 开锁信号控制指示灯变化模块本模块是实现当

12、开锁信号产生时,相应的指示灯由红亮绿灭变成红灭绿亮。这里同样先用led_r_temp2、led_g_temp2代表红灯和绿灯。其模块及部分程序如下: 图17 指示灯变化模块process(clk_1k,state)beginif(clk_1kevent and clk_1k=1) then if(state=1) then if(unlock=1) thenled_r_temp2=0;led_g_temp2=1;else led_r_temp2=1;led_g_temp2=0;end if;end if;end if;end process;仿真波形如下:图18 指示灯变化仿真图(8) 开锁信

13、号产生2秒提示音模块当开锁信号产生时,扬声器发出两秒的提示音。这里用speaker_temp2代替。其模块及部分程序如下: 图19 2秒提示音模块signal count_2:std_logic_vector(1 downto 0);signal temp:std_logic;beginprocess(clk)beginif(clkevent and clk=1) then1秒Hzif(unlock=1) then if(count_2=10) thencount_2=10;temp=0;else count_2=count_2+1;temp=1;end if;end if;end if;end process;仿真波形如下:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > PPT模板库 > 总结/计划/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号