通信原理实验报告(共9页)

上传人:新** 文档编号:458266309 上传时间:2022-09-07 格式:DOC 页数:9 大小:360KB
返回 下载 相关 举报
通信原理实验报告(共9页)_第1页
第1页 / 共9页
通信原理实验报告(共9页)_第2页
第2页 / 共9页
通信原理实验报告(共9页)_第3页
第3页 / 共9页
通信原理实验报告(共9页)_第4页
第4页 / 共9页
通信原理实验报告(共9页)_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《通信原理实验报告(共9页)》由会员分享,可在线阅读,更多相关《通信原理实验报告(共9页)(9页珍藏版)》请在金锄头文库上搜索。

1、北 京 科 技 大 学 通信原理实验报告 学院: 计算机与通信工程学院 班级: 通信1303 学号: 姓名: 李成钢 同组成员:陈灿,安栋,张秋杰,王亮 实验成绩:_ 2016 年 1 月 14 日 实验三、 2ASK 和2FSK 信号的labview 实现一、 实验目的1、 熟悉掌握2ASK和2FSK的调制原理2、 提高自主设计与仿真能力二、 实验仪器计算机一台,labview2013软件三、 实验内容根据2ASK 和2FSK 的调制原理,在labview 仿真软件上,设计实现2ASK 和2FSK 的调制过程,要求得到正确的2ASK 和2FSK 调制信号并能够观测频谱特性。四、 实验步骤1、

2、2ASK调制过程设计(1)、将输入序列的数组添加到前面板中,在程序面板中输入序列的输出经过数组大小控件后与for循环框图的N相连确定循环的次数。(2)、在for循环框图内输入序列的输出经过索引数组后跟1比较,比较的结果输入到条件判断结果的条件端口。当索引数组的输出为1时,条件框图中的for循环结构中的数值常量为1。当索引数组的输出为0时,条件框图的for循环结构中的数值常量为0。(3)、将采样频率与码速率相除然后最近数取整输入到条件框图中的for循环结构的N,用来确定输入序列的二进制符号间隔Tb。(4)、载波通过正弦波信号生成控件产生,载波的幅值为1,采样端的输入为采样点数的输出,载波频率为采

3、样频率除以载波频率,相位输出输入到信号生成控件的相位输入端。(5)、将输入序列与载波相乘即可得到2ASK信号(6)、在前面板中添加输入序列波形、2ASK信号频谱、2ASK信号波形、2ASK信号功率谱、载波波形等波形显示控件。 2、2FSK调制过程设计 (1)、将输入序列的数组添加到前面板中,输入序列的波形调整的框图与2ASK信号相同。 (2)、两载波的幅值都为1,都是由正弦波信号发生器产生,载波的频率由载波频率1、2除以采样频率确定。(3)、调整后的输入序列通过数组大小控件连接到for循环结构的N端控制循环的次数,在for循环结构中输入序列通过索引数组后与1作比较,如果输入序列等于1,则载波1

4、与1相乘,载波2与0相乘,相乘的结果相加后输出。如果输入序列等于0,则载波1与0相乘,载波2与1相乘,相乘的结果相加后输出。(4)、将相应的波形显示控件添加到前面板中,然后与相应的输出相连。五、 实验结论及思考2ASK的实验结果改变码元速率改变采样频率2FSK实验结果思考题:1. 当码速率小于或等于载波频率时2ASK调制是正确的。在这些情况下,载波的周期T小于输入序列码元的宽度,相乘以后得到的2ASK调制是正确的当采样点数过少时会造成载波的长度过短,与输入序列相乘后,部分码元缺失。2. 采样频率的变化会影响载波的频率跟码元的宽度,但对相乘结果没影响。但码元宽度过大会造成部分码元的缺失。3. 相

5、位输入会影响2ASK的初始相位。4. 当采样率除以码速率乘以码元数小于等于采样点数,码元速率小于载波频率时,得到的2ASK信号是正确的。实验总结:在通信原理实验的学习中,我收获了很多。虽然我们的通信原理实验基本上都是验证性实验,但是对于我们这些知识能力还不够的学生来说,这些探索也是很有价值的。对于这些实验,我们在探索中学习、在模仿中理解、在实践中掌握。通信原理实验让我在探索、自我学习中获得知识。当然,经过通信原理实验,也发现了自身存在的很多不足。自己的理论知识并不是很强,有些实验结果无法得到透彻的解释;我的思维、思考方式还需要提高,一些复杂的实验时我还不能很快很好的完成,每个实验后相关的思考题也不能得到很好的解决。最后,我觉得我们做的实验都是验证性试验,虽然对知识的理解会有很大帮助,但缺乏自主创新性,希望以后能在通信原理实验课上适当增加一点微创新性实验。总之,通信原理的实验让我收获很多,希望自己在以后的学习中可以灵活使用这些知识,得到更大提升!教师评语:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号