同步D触发器和异步D触发器

上传人:hs****ma 文档编号:457997207 上传时间:2023-04-02 格式:DOCX 页数:2 大小:31.81KB
返回 下载 相关 举报
同步D触发器和异步D触发器_第1页
第1页 / 共2页
同步D触发器和异步D触发器_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《同步D触发器和异步D触发器》由会员分享,可在线阅读,更多相关《同步D触发器和异步D触发器(2页珍藏版)》请在金锄头文库上搜索。

1、同步和异步实验时间:寒假第二周实验地点:老校区16楼实验室实验学生:刘欢实验原理:1.同步时序电路:同步时序电路是指各触发器的时钟端全 部连接在一起,并接系统时钟端;只有当时钟脉冲到来时,电路的状态 才能改变;改变后的状态将一直保持到下一个时钟脉冲的到来,此时无 论外部输入x有无变化;状态表中的每个状态都是稳定的.2.异步时序电路:异步时序电路是指电路中除以使用带时钟 的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件; 电路中没有统一的时钟;电路状态的改变由外部输入的变化直接引起. 可将异步时序逻辑电路分为脉冲异步时序电路和电平异步时序电路. 实验内容:在这里我用D触发器来很明显的

2、体现出同步和异步的区 别。先用verilog描述一个异步的D触发器,即就是当有时钟clk、reset、 set、信号时该处发起都会随时发出响应。然后描述一个同步的D触 发器,当有时钟脉冲时才会做出响应,而reset 和 set发生时只会等时 终发生变化才会做出响应。然后在测试用例中使用相同的信号,观察 两个触发器的区别。异步D触发器:module D_AT(output reg q,input d,input clk,input reset,input set);/AsyncPostBackTrigger触发器 always (posedge clk or negedge reset or n

3、egedge set)带有置位和复位的触发器beginif(!reset)低电平有效q = 0;else if(!set)q = 1;elseq = d;endEndmodule同步的D触发器:module D_ST(output reg q,input d,input clk,input reset,input set);/synchronizer triggeralways (posedge clk)beginif(reset = 1)高电平有效q = 0;else if(set = 1)q = 1;elseendEndmodule波形图:q = d;对上图进行分析:q1为同步触发器输出信

4、号,q2为异步触发器输出 信号。因为同步是以高电平有效的,所以在set由低变高时,会发生 置位,又因为是同步触发器,所以要等到CLK上升沿时才会变化, 在图中很显然能表现出来;而异步触发器是低电平有效,所有当 RESET由高变低时,会发生复位,又因为它是异步触发器,所以只 要有复位信号就就会复位,不用管CLK是否有沿变化,图中很显然 能够看出来。注意:在设计电路的时候尽可能使用同步设计。因为异步设计问题常 常会由于电压、温度或工艺制程的微妙变化而间歇性的表现出来。同 步设计是一种正规的设计方法,他可以保证设计能够在要求的速度范 围内正常工作,只要时序满足一定的范围延迟即使不能完全控制,也 是相对可控的。同步设计不仅比异步设计更加可靠,而且大多数EDA 工具现在也假定设计是同步的。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号