FPGA通用异步收发器课程设计报告

上传人:鲁** 文档编号:451139093 上传时间:2022-12-31 格式:DOC 页数:25 大小:482.50KB
返回 下载 相关 举报
FPGA通用异步收发器课程设计报告_第1页
第1页 / 共25页
FPGA通用异步收发器课程设计报告_第2页
第2页 / 共25页
FPGA通用异步收发器课程设计报告_第3页
第3页 / 共25页
FPGA通用异步收发器课程设计报告_第4页
第4页 / 共25页
FPGA通用异步收发器课程设计报告_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《FPGA通用异步收发器课程设计报告》由会员分享,可在线阅读,更多相关《FPGA通用异步收发器课程设计报告(25页珍藏版)》请在金锄头文库上搜索。

1、-课程设计任务书学生:瞿子敬专业班级:通信1104 指导教师:适工作单位:信息工程学院题目:FPGA通用异步收发器设计课程设计目的:1. 熟练使用VHDL语言进行电路设计;2. 能够运用相关软件进行模拟分析;3. 掌握基本的文献检索和文献阅读的方法;4. 提高正确的撰写论文的基本能力。课程设计容和要求1. 容:FPGA通用异步收发器设计2.要求:使用VHDL语言完成电路设计,并在此基础上进行仿真,得到正确结果。初始条件Quartus仿真平台时间安排1. 方案设计,1天;2. 软件设计,2天;3. 系统调试,1天;4. 答辩,1天。指导教师签名:年月日系主任(或责任教师)签名:年月日. z.-目

2、录摘要IAbstractI1. UART简介11.1 UART基本特点11.2 FPGA URAT系统组成12. 模块设计12.1 顶层模块12.1 波特率发生器12.3 UART接收器12.3.1 接收器简介12.3.2 UART接收器的接收状态机12.4 URAT发送器12.4.1 发送器简介12.4.2 发送状态机13. 程序设计与仿真13.1 顶层程序13.2 波特率发生器程序13.3 UART发送器程序13.4 UART接收器程序14. 心得体会15. 参考文献1. z.-摘要UART(Universal Asynchronous Receiver Transmitter通用异步收发

3、器)是一种应用广泛的短距离串行传输接口。常常用于短距离、低速、低成本的通讯中。8250、8251、NS16450等芯片都是常见的UART器件。基本的UART通信只需要两条信号线(R*D、T*D)就可以完成数据的相互通信,接收与发送是全双工形式。T*D是UART发送端,为输出;R*D是UART接收端,为输入。关键词:UART,FPGA,VHDL,电路通信AbstractUART (Universal Asynchronous Receiver Transmitter) is a kind of widely used short serial transmission interface. Of

4、ten used in short distance, low speed, low cost of munications. 8250, 8251, NS16450, etc are mon UART chip devices.Basic UART munication only need two signal lines (R*D, T*D) can plete data munication, sending and receiving is full duple* form. T*D is UART transmitter for output; R*D is UART receive

5、r for input.Keywords:UART,FPGA,VHDL,Circuit munication. z.-1. UART简介1.1 UART基本特点(1)在信号线上共有两种状态,可分别用逻辑1(高电平)和逻辑0(低电平)来区分。在发送器空闲时,数据线应该保持在逻辑高电平状态。(2)起始位(Start Bit):发送器是通过发送起始位而开始一个字符传送,起始位使数据线处于逻辑0状态,提示接受器数据传输即将开始。(3)数据位(Data Bits):起始位之后就是传送数据位。数据位一般为8位一个字节的数据(也有6位、7位的情况),低位(LSB)在前,高位(MSB)在后。(4)校验位(pa

6、rity Bit):可以认为是一个特殊的数据位。校验位一般用来判断接收的数据位有无错误,一般是奇偶校验。在使用中,该位常常取消。(5)停止位:停止位在最后,用以标志一个字符传送的结束,它对应于逻辑1状态。(6)位时间:即每个位的时间宽度。起始位、数据位、校验位的位宽度是一致的,停止位有0.5位、1位、1.5位格式,一般为1位。(7)帧:从起始位开始到停止位结束的时间间隔称之为一帧。(8)波特率:UART的传送速率,用于说明数据传送的快慢。在串行通信中,数据是按位进行传送的,因此传送速率用每秒钟传送数据位的数目来表示,称之为波特率。如波特率9600=9600bps(位/秒)。UART的数据帧格式

7、为:1.2 FPGA URAT系统组成FPGA UART由三个子模块组成:(1)波特率发生器;(2)接收模块;(3)发送模块;模块分布如图所示:图1.1 UART模块2. 模块设计模块设计分为顶层模块、波特率发生器、UART接收器、UART发送器四部分。2.1 顶层模块异步收发器的顶层模块由波特率发生器、UART接收器和UART发送器构成。UART发送器的用途是将准备输出的并行数据按照基本UART帧格式转为T*D信号串行输出。UART接收器接收R*D串行信号,并将其转化为并行数据。波特率发生器就是专门产生一个远远高于波特率的本地时钟信号对输入R*D不断采样,使接收器与发送器保持同步。顶层模块电

8、路图:图2.1 顶层模块电路2.1 波特率发生器波特率发生器实际上就是一个分频器。可以根据给定的系统时钟频率(晶振时钟)和要求的波特率算出波特率分频因子,算出的波特率分频因子作为分频器的分频数。波特率分频因子可以根据不同的应用需要更改。2.3 UART接收器2.3.1 接收器简介由于串行数据帧和接收时钟是异步的,由逻辑1转为逻辑0可以被视为一个数据帧的起始位。然而,为了避免毛刺影响,能够得到正确的起始位信号,必须要求接收到的起始位在波特率时钟采样的过程中至少有一半都是属于逻辑0才可认定接收到的是起始位。由于部采样时钟bclk周期(由波特率发生器产生)是发送或接收波特率时钟频率的16倍,所以起始

9、位需要至少8个连续bclk周期的逻辑0被接收到,才认为起始位接收到,接着数据位和奇偶校验位将每隔16个bclk周期被采样一次(即每一个波特率时钟被采样一次)。如果起始位的确是16个bclk周期长,则接下来的数据将在每个位的中点处被采样2.3.2 UART接收器的接收状态机图2.2 接受状态机状态图五个状态分别为R_START(等待起始位),R_CENTER(求中点),R_WAIT(等待采样),R_SAMPLE(采样),R_STOP(停止位接收)。R_START状态:当UART接收器复位后,接收状态机将处于这一个状态。在此状态,状态机一直在等待R*D的电平跳转,从逻辑1变为逻辑0,即起始位,这意

10、味着新的一帧UART数据帧的开始,一旦起始位被确定,状态机将转入R_CENTER状态。状态图中的R*D_SYNC信号是R*D的同步信号,因为在进行逻辑1或逻辑0判断时,不希望检测的信号是不稳定的,所以不直接检测R*D信号,而是检测经过同步后的R*D_SYNC信号。R_CENTE状态:对于异步串行信号,为了使每一次都检测到正确的位信号,而且在较后的数据位检测时累计误差较小,显然在每位的中点检测是最为理想的。在本状态,就是由起始位求出每位的中点,通过对bclk的个数进行计数(RT16),但计数值不是想当然的“1000”,要考虑经过一个状态,也即经过了一个bclk周期,所希望得到的是在采样时1/2位

11、。另外,可能在R_START状态检测到的起始位不是真正的起始位,可能是一个偶然出现的干扰尖脉冲(负脉冲)。这种干扰脉冲的周期是很短的,所以可以认为保持逻辑0超过1/4个位时间的信号一定是起始位。R_WAIT状态:当状态机处于这一状态,等待计满15个bclk,在第16个bclk是进入R_SAMPLE状态进行数据位的采样检测,同时也判断是否采集的数据位长度已达到数据帧的长度(FRAMELEN),如果到来,就说明停止位来临了。FRAMELEN在设计时是可更改的(使用了Generic),在本设计中默认为8,即对应的UART工作在8位数据位、无校验位格式。R_SAMPLE状态:即数据位采样检测,完成后无

12、条件状态机转入R_WAIT状态,等待下次数据位的到来。R_STOP状态:无论停止位是1还是1.5位,或是2位,状态机在R_STOP不具体检测R*D,只是输出帧接收完毕信号(REC_DONE=1),停止位后状态机转回到R_START状态,等待下一个帧的起始位。2.4 URAT发送器2.4.1 发送器简介发送器只要每隔16个bclk周期输出1个数据即可,次序遵循第1位是起始位,第8位是停止位。在本设计中没有校验位,但只要改变Generic参数FrameLen,也可以加入校验位,停止位是固定的1位格式。2.4.2 发送状态机五个状态分别为*_IDLE(空闲),*_START(起始位),*_WAIT(

13、移位等待),*_SHIFT(移位),*_STOP(停止位)。*_IDLE状态:当UART被复位信号复位后,状态机将立刻进入这一状态。在这个状态下,UART的发送器一直在等待一个数据帧发送命令*MIT_CMD。*MIT_CMD_P信号是对*MIT_CMD的处理,*MIT_CMD_P是一个短脉冲信号。这时由于*MIT_CMD是一个外加信号,在FPGA之外,不可能对*MIT_CMD的脉冲宽度进行限制,如果*MIT_CMD有效在UART发完一个数据帧后仍然有效,则就会错误地被认为,一个新的数据发送命令又到来了,UART发送器就会再次启动UART帧的发送,显然该帧的发送是错误的。在此对*MIT_CMD进

14、行了脉冲宽度的限定,*MIT_CMD_P就是一个处理后的信号。当*MIT_CMD_P=1,状态机转入*_START,准备发送起始位。*_START状态:在这个状态下,UART的发送器一个位时间宽度的逻辑0信号至T*D,即起始位。紧接着状态机转入*_WAIT状态。*T16是bclk的计数器*_WAIT状态:同UART接收状态机中的R_WAIT状态类似。*_SHIFT状态:当状态机处于这一状态时,实现待发数据的并串转换。转换完成立即回到*_WAIT状态。*_STOP状态:停止位发送状态,当数据帧发送完毕,状态机转入该状态,并发送16个bclk周期的逻辑1信号,即1位停止位。状态机送完停止位后回到*

15、_IDLE状态,并等待另一个数据帧的发送命令。图2.3 发送状态机状态图3. 程序设计与仿真3.1 顶层程序-文件名:top.vhd。-功能:顶层映射。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity top is Port (clk32mhz,reset,r*d,*mit_cmd_p_in:in std_logic; -总的输入输出信号的定义 rec_ready,t*d_out,t*d_done_out:out std_logic; t*dbuf_in:in std_logic_vector(7 downto 0);

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号