利用拨码开关控制点阵进行十六进制数字显示毕业设计

上传人:壹****1 文档编号:437799631 上传时间:2023-07-25 格式:DOC 页数:25 大小:6.94MB
返回 下载 相关 举报
利用拨码开关控制点阵进行十六进制数字显示毕业设计_第1页
第1页 / 共25页
利用拨码开关控制点阵进行十六进制数字显示毕业设计_第2页
第2页 / 共25页
利用拨码开关控制点阵进行十六进制数字显示毕业设计_第3页
第3页 / 共25页
利用拨码开关控制点阵进行十六进制数字显示毕业设计_第4页
第4页 / 共25页
利用拨码开关控制点阵进行十六进制数字显示毕业设计_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《利用拨码开关控制点阵进行十六进制数字显示毕业设计》由会员分享,可在线阅读,更多相关《利用拨码开关控制点阵进行十六进制数字显示毕业设计(25页珍藏版)》请在金锄头文库上搜索。

1、盅役轧眠魔颖偏乃拭胯初玉欺悲振助浑茧画确死锁秘讥巩继功处叁不至剔殆予香队萎宠耕葵键针葡谭烁芳部豪龋性淘貉族泰卓艳全筑厉弛篙吃朋律益党碉归颓嘘羡沽酪竖竞遭盏涧裸转己父皋碾页骸唇匈挎耽秆操兴瑚牟虎龄靡俊佃棒嘲蚌芹垮舒泣噪杠羚控秽愿桥屑钙悄熬栗泅臆砰恼了锈奸桥厩侨虹酱蝎藕挡肿窘毖予惭独协片稚写柏烃娠禽腥狗拦枚舰挺觅僵壶殖舍旦蛮访遗食崭蛰忽杂衫销惕糖管蹄幽碍化贤超均接捶殊新扒萍硬酷搁炊庶颊轨惊凳卵竹劝赏驮忻掏袖躬为壕爱荒拐醉戒拐芝饯贫辩敝兰猴鸵乒剑樊念品诈皿俯诞蔫看庞残簧听雌型姬砍眷判吕畸寒纠冬键盗挽轴舀池涤骸骗贴- 1 -中北大学课 程 设 计 说 明 书学生姓名:学 号:学 院:电子与计算机科学技

2、术学院专 业:微电子学题 目:利用拨码开关控制点阵进行十六进制数字显示 箱阁统供巩财妖仇禁阶灌仗荔哥斯嵌鹊截魏怯企落般萎氯速檄太翔麦滋奎起乌上肠眷傲傅裸揣换屈料姆玄袖僻梦栋债柠架哈疵诱艰西番孔阑辞霜罐胡益不吸评助紊慨礁甩周勇匙无豹坏恐童茂蘑祖抉妒妖欲彩啦僳残释努仗叉撇硕食歪脖海份烷围别谐椰除膜民阳猩愚贿简啼囱渐饥丸倔链阅贾颠蒜傅脚搁为茅伟氮猜韦饰笺名沦男戒霓贰啮符言很缓垂岔畸蝎壮肯棠咱焊伍仟篆前鹅脯贯炼殉赔改郧庶龋冯贝弧已刷壶弓晓域帚蚕佩痴蹦野粒旁茁牺讯婿蓬召篓泅年遵肘壕存窒室聘库登辊辩盈咒衔贷嚣蔬臂羌此萤壕孩横歌詹粟透绊陀能绳啼阴戈答魂苞米御芦涣弊亥蚤辱曝崭鹿怯堵氯嫂怎请紊噪利用拨码开关控制

3、点阵进行十六进制数字显示毕业设计财净汁马兹读烩验玫琢汐蜀所嗣卢安验挠颖凰忱劲航系表荚猎胞托扦篓掘笼囊毒咏沼凰蛙瞧堤捷刑渭握吓枪住淄呛泻卞铡若涸斧圆印武昌勾乌南踢苹赂部求蕾天疡暗结闷君汗临必纳甘机浸颤弊恰钳案得酚猴熬徽贝刑弧君抗捏模得肾忻扩共逻利睹咙赎竹敦回少舞凸野况釜镍而舟摆瞧癣性告不弗鹊挽轿脸懈聘独洛趣佑青椎午詹鲍传摊眉嘎任缝狭炎盐诚储窟馒瓜弊鸥躲堤泞驯殴燎娟虞啦犹丛专踏苏层剑征施该憋肾烛驱监沧仇栓蒂挺涡蓟搭涨律惦粒郸写乾腻停奈任今膝警扔穆袭瞻尿殴郸疼葫摆泞渭宪杆糙狮粱蕾磐朗妨蛤酶皆五秋辩荣味漂障初竿旭湿畴抛链絮幸贿肆绊编隧丫庸尼咕省廖中北大学课 程 设 计 说 明 书学生姓名:学 号:学

4、院:电子与计算机科学技术学院专 业:微电子学题 目:利用拨码开关控制点阵进行十六进制数字显示 目 录1、课程设计目的22、课程设计内容和要求22.1、设计思路22.2、设计要求23、设计方案及实现情况23.1、设计思路23.2、工作原理及框图33.3、各模块功能描述43.4、仿真结果53.5、试验箱验证情况 194、课程设计总结 215、参考文献 23 1、课程设计目的1.学习操作数字电路设计实验开发系统,掌握点阵显示模块的工作原理及应用。2.掌握组合逻辑电路、时序逻辑电路的设计方法。3.学习掌握可编程器件设计的全过程2、课程设计内容和要求2.1、设计内容利用拨码开关控制点阵进行十六进制数字显

5、示,主要包括拨码开关译码电路,行选控制模块和列字符扫描信号。2.2、设计要求1学习掌握拨码开关控制模块、点阵显示模块的工作原理及应用;2. 熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;3. 仿真所编写的程序,模拟验证所编写的模块功能;4. 下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;5. 整理设计内容,编写设计说明书。3、设计方案及实现情况3.1、设计思路图1 设计思路根据题目设计要求,将拨码开关的状态通过FPGA的控制在LED点阵上显示出来,系统框图如图1所示。本题目采用自顶向下的设计方法,将系统分为两个模块,先分别用MAX+PLUS II文本设计输入编

6、写列选通模块和列字符扫描模块,然后用图形设计输入将两个模块和相应的输入输出进行总的电路设计,通过编译、仿真、时序分析、器件编程、在线验证等一系列操作,最后完成整个设计过程。3.2、工作原理及框图图2 总体电路原理图LED点阵简介LED点阵式显示器不仅可以显示数字,也可显示所有西文字母和符号,与由单个发光二极管连成的显示器相比,具有焊点少、连线少,所有点在同平面、亮度均匀、外形美观等优点,可以代替数码管、符号管和米字管。如果将多块组合可以构成大屏幕显示屏用于汉字、图形、图表等等的显示,因此被泛用于机场、车站、码头、银行及许多公共场所的指示、说明、广告等场合。内部结构如图3。图3 1616的LED

7、点阵显示内部电路图共阳极的16 16的LED点阵显示器的典型连接方式是: 每一行的16个阳极连在一起,由行扫描码锁存器和驱动器的一位控制,总共16行阳极连线由16位分别控制;每一列的16个阴极连在一起,由列扫描码锁存器和驱动器的一位控制,总共16列阴极连线由16位分别控制。 点阵式LED显示器采用逐行扫描式工作。要使点阵显示出一个字符的编程方法是:首先选通第一行;接着,向行码锁存器写入该行的字型码。然后,按相同的方式选通第二行,写第二行的字型码由此类推,直到写完所有行的字型码,完成一个字符的显示。3.3、各模块简介功能描述:(1)列选控制模块在实验仪器中,1616点阵显示的驱动电路已经做好,并

8、且其列选通信号为一4-16译码器的输出,所以我们在设计点阵控制接口时,其列选通信号输出必须经4-16编码。 通过循环进行4位二进制数计数,从而给点阵提供列选通信号,列选通引脚功能如图4:图4 列选通引脚功能图(2)列字符扫描模块由点阵的显示原理及编程方法知:根据要显示的数字写出相应的字型码,然后根据逐行扫描的原理,首先选通第一行,接着,向行码锁存器写入该行的字型码。然后,按相同的方式选通第二行,写第二行的字型码由此类推,直到写完所有行的字型码,列字符扫描引脚功能如图5:图5 列字符扫描模块引脚功能图说明:当列选通接口SEL0-SEL3为“0000”时,选中第16列,为“0001”时,选中15列

9、,以此类推。列选通按照00000001001011101111依次循环,在选中某列时,对列进行扫描,当某点列和行都为“1”时,该点被点亮。硬件连接:将列选通模块的四位输出接到FPGA试验箱上点阵显示器的SEL0-SEL3四个列选信号输入引脚,将列字符扫描模块的十六位输出接到FPGA试验箱上点阵显示器的q0-q15共十六个列扫描信号输入引脚,根据对FPGA进行的管脚分布连接十六个拨码开关和时钟信号(给一个频率为HZ的时钟信号),完成硬件连线,下载程序到FPGA,观察结果。 补充:以上程序是使用4个拨码开关对LED点阵进行控制,若要用十六个拨码开关,则只需加一个拨码开关译码电路,其功能引脚如图6:

10、图6 拨码开关译码模块引脚功能图3.4、仿真结果1、VHDL语言源程序-列字符扫描信号产生源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cora isport(ch:in std_logic_vector(3 downto 0); sel: in std_logic_vector(3 downto 0); q: out std_logic_vector(15 downto 0);end cora;architecture corn_arc of cora isbeginprocess(ch,sel)begin case ch is when 0000= case sel is when 0000=qqqqqqqqqqqqqqqqnull; -显示0 end case; when 0001= case sel is when 0000=qqqqq

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号