基于FPGA的电子抢答器的程序设计毕业设计

上传人:人*** 文档编号:435843068 上传时间:2023-10-07 格式:DOC 页数:21 大小:133.50KB
返回 下载 相关 举报
基于FPGA的电子抢答器的程序设计毕业设计_第1页
第1页 / 共21页
基于FPGA的电子抢答器的程序设计毕业设计_第2页
第2页 / 共21页
基于FPGA的电子抢答器的程序设计毕业设计_第3页
第3页 / 共21页
基于FPGA的电子抢答器的程序设计毕业设计_第4页
第4页 / 共21页
基于FPGA的电子抢答器的程序设计毕业设计_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《基于FPGA的电子抢答器的程序设计毕业设计》由会员分享,可在线阅读,更多相关《基于FPGA的电子抢答器的程序设计毕业设计(21页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的电子抢答器的程序设计摘 要随着科学技术日新月异,文化生活日渐丰富,在各类竞赛、抢答场合电子抢答器已经作为一种工具得到了较为广泛的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手段准确、公正、直观地判断出最先获得发言权选手的设备。此次设计有4组抢答输入,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具备自锁功能,保证能够实现在一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可

2、靠等优点。该四路抢答器使用VHDL硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。关键词:VHDL,FPGA,四路抢答器,仿真 目录1 概述11.1 设计背景11.2 抢答器现状11.3 本论文主要完成的工作11.4 设计心得22 开发工具简介32.1 VHDL语言简介32.2 FPGA开发过程与应用42.2.1 FPGA发展历程及现状42.2.2 FPGA工作原理42.2.3 FPGA开发流程52.3 Quartus II软件63系统设计83.1 系统设计要求83.2

3、系统设计方案83.2.1 系统硬件设计方案83.2.2 系统软件设计方案83.3.3 系统原理详述104 电路程序设计及仿真124.1 抢答锁存模块设计124.1.1 VHDL源程序124.1.2 抢答锁存电路的模块134.2 仿真14总结15致谢17参考文献18郑州轻工业学院课 程 设 计 任 务 书题目 基于FPGA的电子抢答器的程序设计 专业班级 电子信息工程10-1班 学号 姓名 主要内容、基本要求、主要参考资料等:主要内容:抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。要求学生使用硬件描述语言(Verilog 或者 VHDL)设计基于FPGA的电

4、子抢答器的源程序。实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,并且将抢答成功的一路用指示灯显示出来。基本要求:1、 学会quartusII的使用,掌握FPGA 的程序设计方法。 2、掌握硬件描述语言语法。 4、程序设计完成后要求在quartusII中实现功能仿真。主要参考资料:1、褚振勇. FPGA设计及应用(第三版)M.西安电子科技大学出版社.2012,42、陈怀琛.MATLAB及在电子信息课程中的应用M.北京:电子工业出版社.2008,1完 成 期 限: 2013.6.212013.6.25 指导教师签名: 课程负责人签名: 2013年 6月 18日1 概述

5、1.1 设计背景现场可编程门阵列(简称FPGA)是20世纪80年代中期出现的高密度可编程逻辑器件,采用SRAM开关元件的FPGA是易失性的,每次重新加电, FPGA都要重新装入配置数据。突出优点是可反复编程,系统上电时,给FPGA加载不同的配置数据,即可令其完成不同的硬件功能。这种配置的改变甚至可以在系统的运行中进行,实现系统功能的动态重构。“在系统可编程”(简称ISP)是指对器件、电路或整个电子系统的逻辑功能可随时进行修改或重构的能力,支持ISP技术的可编程逻辑器件称为在系统可编程逻辑器件,它不需要专门的编程器,利用计算机接口和一根下载电缆就可以对器件编程了。本设计针对电子技术综合实验的要求

6、,利用EDA技术中quartusII作为开发工具,设计了一款基于FPGA的智力竞赛抢答器。1.2 抢答器现状在进行智力竞赛抢答题比赛时,各参赛者考虑后都想抢先答题。如果没有合适的设备,有时难以分清他们的先后,使主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,我们将它称为智力竞赛抢答器。在许多抢答竞赛、文体娱乐活动,为了准确、公正、直观地判断出第一抢答者,通常需要设置一台这样的抢答器,通过指示灯显示出第一抢答者。1.3 本论文主要完成的工作本课程设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可靠等优点。设计四路抢答器使用VHD

7、L硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。系统达到要求:在一路成功抢答有效后,其他三路均不能抢答,并且将抢答成功的一路用指示灯显示出来。1.4 设计心得通过这次课程设计,帮助我们加深理解FPGA程序设计方法,学会quartusII软件的使用,了解简单多功能抢答器组成原理,掌握在quartusII中实现功能仿真的方法,相应地提高动手能力和排障能力,并且良好地巩固已学的理论知识,将硬件描述语言语法与实践相结合。通过分析多功能抢答器各单元电路之间的关系及相互影响,从而能

8、正确设计、计算定时计数的各个单元电路。2 开发工具简介 2.1 VHDL语言简介VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象

9、层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可

10、是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点VHDL语言目前在数字设计领域已为广大设计者所接受,众多CAD厂商纷纷使自己新开发的电子设计软件与VHDL兼容,VHDL语言成了电子设计工程师必须掌握的工具。 在目前,CPU和可编程逻辑器件已经成为数字系统的硬件基础,从事数字系统的设计必须掌握可编程逻辑器件的设计方法,而VHDL语言作为可编程逻辑器件设计时最重要的输入方法,为所有可编程逻辑器件厂商所支持。应用VHDL进行

11、工程设计的优点是多方面的。一、与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。二、VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。三、VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。四、对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化

12、,并自动的把VHDL描述设计转变成门级网表。五、VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 2.2 FPGA开发过程与应用随着现场可编程逻辑器件越来越高的集成度,加上不断出现的I/O标准、嵌入功能、高级时钟管理的支持,使得现场可编程逻辑器越来越广泛。2.2.1 FPGA发展历程及现状从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经历几十年的发展。从最初的一千多可利用门,发展到90年代的几十万个可利用门,到十一世纪又陆续推出了几千万门的单片FPGA芯片。FPGA使用灵活,适用性强,特别适

13、用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场。2.2.2 FPGA工作原理FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)

14、FPGA内部有丰富的触发器和IO引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无

15、须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。2.2.3 FPGA开发流程FPGA开发流程可以分为如下几步:设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是VHDL、Verilog的源程序。它是利用这些输入去描述一个电路的功能。功能仿真,功能仿真就是利用相关仿真工具对相关电路进行功能级别仿真,也就是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上面来了解电路是否能够达到预期要求。这里的功能仿真纯粹是模拟性质的,不会设计的任何具体器件的硬件特性。综合,综合就是行为或者功能层次表达的电子系统转换成低层次门级电路的网表。布局布线,就是将综合后的网表文件针

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号