EDA课程设计—四路抢答器

上传人:m**** 文档编号:423852592 上传时间:2023-05-19 格式:DOC 页数:10 大小:279.01KB
返回 下载 相关 举报
EDA课程设计—四路抢答器_第1页
第1页 / 共10页
EDA课程设计—四路抢答器_第2页
第2页 / 共10页
EDA课程设计—四路抢答器_第3页
第3页 / 共10页
EDA课程设计—四路抢答器_第4页
第4页 / 共10页
EDA课程设计—四路抢答器_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《EDA课程设计—四路抢答器》由会员分享,可在线阅读,更多相关《EDA课程设计—四路抢答器(10页珍藏版)》请在金锄头文库上搜索。

1、EDA课程设计报告报 告 题 目: 四路抢答器 作者所在系部: 电子系 作者所在专业: 微电子技术 作者所在班级: XXXXXXXXXXXXX 作 者 姓 名 : XXXXXXXXXXXXXX 指导教师姓名: XXXX 完 成 时 间 : XXX-XX-XX 内容摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。因此要完成抢答器的逻辑功能,该电路应包括抢答器鉴别模块、抢答器

2、计数模块、译码模块、计数模块。关键词:抢答鉴别 译码 计数 Abstract Responder is the answer for the quiz participants to answer in the design when a priority decision circuit, and the race can be divided into several groups, answer in each group on the host issues raised in the shortest possible time to make judgments , and pre

3、ss the answer in answer key. After pressing the button when the first person, then the display shows the number of the group, the corresponding lights, while other groups will be key circuit block, it does not work. If the answer in time, no answer in, the alarm lights. Answering questions, all the

4、keys from the host to restore and re-start the next round of the Responder. So to complete the answering device logic functions, the circuit should include Responder identification module, Responder counting module, alarm module, decoding module, frequency module. KEY: Responder Identification Count

5、 一、 设计要求1.抢答器同时供4名选手或4个代表队比赛,分别用4个按钮p0p3表示。2.设置一个系统使能开关kaishi,该开关由主持人控制。3抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED和数码管上显示,同时提示灯亮。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。二、方案设计与论证1、 概述将该任务分成三个模块进行设计,分别为:抢答器鉴别模块、计数模块、译码模块。2、 抢答器鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其

6、余的抢答信号封锁的功能。其中有四个抢答信号s0、s1、s2、s3;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号warm。3、 抢答器计数模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行20秒的倒计时,并且在20秒倒计时后无人抢答显示超时并报警。其中有抢答时钟信号clk1;系统复位信号rst;抢答使能信号start;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。4、 译码模块:在这个模块中主要实现抢答过程中将BCD码转换成7段的功能。5、 顶层文件:在这个模块中是对前五个模块的综合编写的顶层文件。三、单元

7、电路设计(一)抢答鉴别模块1.VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qiangda isport(p:in std_logic_vector(3 downto 0); en:in std_logic; clk:in std_logic; y:out std_logic_vector(3 downto 0);end qiangda;architecture a of qiangda issignal b:std_logic_vector;begin proces

8、s(p,en,clk)beginif(clkevent and (clk=1) )then if(en=1 )thencase p iswhen 0001=yyyyy=0000;end case; elsey=0000; end if;end if;end process;end a;2. 抢答鉴别仿真图3抢答鉴别元件图(二)计数模块1. VHDL源程序library ieee;use ieee.std_logic_1164.all;entity ten is port(clk:in std_logic; set:in std_logic; data:in integer range 0 to

9、 9; count:out integer range 0 to 9; carry:out std_logic);end ten;architecture dd of ten issignal tmp:integer range 0 to 9;begin process(clk,set,data) begin if(set=1) then tmp=data; elsif(clkevent and clk=1) then if(tmp9) then tmp=tmp+1; carry=0; elsif(tmp=9) then tmp=0; carry=1; end if; end if; end

10、process; count=tmp; end dd;2.计数仿真图3.计数元件图(四)七段译码器模块1. VHDL源程序LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder3_8 ISPORT(A, B, C ,D: INSTD_LOGIC; -KEY1键和KEY2键和KEY3键作为 A b C信号的输入CON:IN STD_LOGIC;Y : OUTSTD_LOGIC_VECTOR(7 DOWNTO 0); -LED灯作为输出显示状态END decoder3_8;ARCHITECTURE fun OF decoder3_8 ISSI

11、GNAL indata: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINindata YYYYYYYYYYY=XXXXXXXX;END CASE;END IF;END PROCESS encoder;END fun;2.译码元件仿真3.译码元件图(六)顶层文件1.仿真图:2. QDQ_1主电路图连线四 锁定引脚及下载1.选择锁定引脚,再重新编译一次。在编程窗的Mode中选择Active Serial programming编程模式,打开编程文件,选中QDQ.pof,并选中打钩前3个编程项目,在ED2板上选择PROG模式,然后下载。2.锁定引脚:时钟信号 clk N2发光二极管 LEDRO-LEDR3(AE23.AF23.AB21.AC22)数码管 num0-num6 (AB12.AC12.AD11.AE11.V14.V13) ta0-ta6 (AB23.V22.AC25.AC26.AB26.AB25.Y24) tb0-tb6 (Y23.AA25.AA26.Y26.Y25.U22.W24)开关kaishi AF14SW0-SW3(N25.N26.P25.AE14)SOUND AA14START AD13STOP AC133

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号