福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3

上传人:住在****她 文档编号:319508788 上传时间:2022-06-29 格式:DOCX 页数:5 大小:18.26KB
返回 下载 相关 举报
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3_第1页
第1页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3_第2页
第2页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3_第3页
第3页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3_第4页
第4页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3》由会员分享,可在线阅读,更多相关《福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案3(5页珍藏版)》请在金锄头文库上搜索。

1、长风破浪会有时,直挂云帆济沧海。 她福建师范大学21春“电子信息工程”EDA技术离线作业(四)辅导答案一.综合考核(共50题)1.CAE是Computer Aided Engineering,计算机辅助工程的缩写。()A.正确B.错误参考答案:A2.Verilog HDL中整数型常量是不可以综合的。()A.正确B.错误参考答案:B3.Verilog HDL支持条件运算符。()A.正确B.错误参考答案:A4.SRAM是指静态存储器。()A.正确B.错误参考答案:A5.Verilog HDL中的常量主要有:整数,实数和字符串。()A.正确B.错误参考答案:A6.综合指的是将较高级抽象层次的设计描述

2、自动转化为较低层次描述的过程。()A.正确B.错误参考答案:A7.PLD器件内部主要由各种逻辑功能部件和可编程开关构成。()A.正确B.错误参考答案:A8.采用原理图方式的数字设计的可重用性、可移植要差一些。()A.正确B.错误参考答案:A9.浮栅编程元件一般用在民用、消费类产品中。()A.正确B.错误参考答案:A10.综合有哪几种形式()。A.RTLB.逻辑综合C.将逻辑门表示转换到版图表示参考答案:ABC11.SOC是指把一个完整的系统集成在一个芯片上。()A.正确B.错误参考答案:A12.ASIC是专用集成电路的缩写。()A.正确B.错误参考答案:A13.目前常用的硬件描述语言为:()。

3、A.VerilogB.VHDLC.VCD.VB参考答案:AB14.状态机可以分为:米里型和摩尔型两类。()A.正确B.错误参考答案:A15.下面哪些是专业提供PLD器件厂商()。A.XilinxB.AlteraC.LatticeD.Micsoftware参考答案:ABC16.Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。()A.正确B.错误参考答案:A17.SPLD器件分为几类()。A.PROMB.PLAC.PALD.GAL参考答案:ABCD18.FPGA是Field Programmable Gate Array,现场可编程门阵列的缩写。()A.正确B.错误参考答案:A

4、19.Verilog HDL不支持条件语句。()A.正确B.错误参考答案:B20.在IC设计领域中,IP核一般完成某种功能的设计模块。()A.正确B.错误参考答案:A21.用PLD器件实现设计的优势有哪些()?A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD参考答案:ABC22.Verilog语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。()A.正确B.错误参考答案:A23.Verilog HDL数据类型是用来表示数字电路中的物理连线、数据存储和传输单元等物理量的。()A.正确B.错误参考答案:A24.Verilog HDL支持循环语句。(

5、)A.正确B.错误参考答案:A25.Quartus II是Xilinx的FPGA/CPLD的集成开发工具。()A.正确B.错误参考答案:B26.Verilog HDL中assign为持续赋值语句。()A.正确B.错误参考答案:A27.Verilog HDL中的变量一般分为两种数据类型:net型和variable型。()A.正确B.错误参考答案:A28.布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。()A.正确B.错误参考答案:A29.不考虑信号时延等因素的仿真称为功能仿真。()A.正确B.错误参考答案:A30.PLD是一种全定制器件。()A.正确B

6、.错误参考答案:B31.数字设计流程中的设计输入的表达方式一般有原理图方式和HDL文本方式两种。()A.正确B.错误参考答案:A32.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。()A.正确B.错误参考答案:A33.时序仿真也叫后仿真。()A.正确B.错误参考答案:A34.JTAG是Joint Test Action Group,联合测试行动组的缩写。()A.正确B.错误参考答案:A35.Verilog HDL支持赋值语句。()A.正确B.错误参考答案:A36.PLD是Programmable Logic Device,可编程逻辑器件的缩写。()A.正确B.错误参考

7、答案:A37.把适配后生成的编程文件装入到PLD器件中的过程称为下载。()A.正确B.错误参考答案:A38.ISP和专用的编程器是FPGA常用的两种编程方式。()A.正确B.错误参考答案:A39.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。()A.正确B.错误参考答案:A40.IP是Intellectual Property的缩写。()A.正确B.错误参考答案:A41.按照处理的HDL语言类型,仿真器可以分为()。A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器参考答案:ABC42.仿真是EDA的精髓所在。()A.正确B.错误参考答案:A43.

8、状态机常用的编码方式有()。A.顺序编码B.格雷编码C.约翰逊编码D.一位热码参考答案:ABCD44.PROM(Programmable Read Only Memory),可编程只读存储器的缩写。()A.正确B.错误参考答案:A45.衡量仿真器性能的重要指标有哪些()。A.仿真速度B.仿真的准确性C.仿真的易用性参考答案:ABC46.EDA技术发展阶段描述正确的是()。A.CAD阶段B.CAE阶段C.EDA阶段D.以上都不对参考答案:ABC47.Verilog HDL和VHDL目前还都不是IEEE标准。()A.正确B.错误参考答案:B48.Verilog HDL和 VHDL目前还都不是IEEE标准。()A.正确B.错误参考答案:B49.常用的集成FPGA/CPLD开发工具有哪些()。A.MAX+plus IIB.Quartus IIC.ISED.ispLEVER参考答案:ABCD50.Verilog程序的基本设计单元是“模块”(module)。()A.正确B.错误参考答案:A

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 习题/试题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号