福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5

上传人:住在****她 文档编号:319508577 上传时间:2022-06-29 格式:DOCX 页数:5 大小:18.30KB
返回 下载 相关 举报
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5_第1页
第1页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5_第2页
第2页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5_第3页
第3页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5_第4页
第4页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5》由会员分享,可在线阅读,更多相关《福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案5(5页珍藏版)》请在金锄头文库上搜索。

1、长风破浪会有时,直挂云帆济沧海。 她福建师范大学21春“电子信息工程”EDA技术离线作业(三)辅导答案一.综合考核(共50题)1.把适配后生成的编程文件装入到PLD器件中的过程称为下载。()A.正确B.错误参考答案:A2.采用原理图方式的数字设计的可重用性、可移植要差一些。()A.正确B.错误参考答案:A3.Verilog HDL不支持条件语句。()A.正确B.错误参考答案:B4.Verilog HDL支持条件运算符。()A.正确B.错误参考答案:A5.FPGA是Field Programmable Gate Array,现场可编程门阵列的缩写。()A.正确B.错误参考答案:A6.Verilo

2、g HDL中的变量一般分为两种数据类型:net型和variable型。()A.正确B.错误参考答案:A7.基于EDA技术的设计中,通常有两种设计思路()。A.自顶向下B.自底向上C.自前向后D.自后向前参考答案:AB8.ASIC是专用集成电路的缩写。()A.正确B.错误参考答案:A9.Quartus II是Xilinx的FPGA/CPLD的集成开发工具。()A.正确B.错误参考答案:B10.Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。()A.正确B.错误参考答案:A11.用状态机进行设计具有速度快、结构简单、可靠性高等优点。()A.正确B.错误参考答案:A12.Veri

3、log程序的基本设计单元是“模块”(module)。()A.正确B.错误参考答案:A13.Verilog HDL支持赋值语句。()A.正确B.错误参考答案:A14.常用的集成FPGA/CPLD开发工具有哪些()。A.MAX+plus IIB.Quartus IIC.ISED.ispLEVER参考答案:ABCD15.PLD是Programmable Logic Device,可编程逻辑器件的缩写。()A.正确B.错误参考答案:A16.有限状态机的复位分为两种:同步复位和异步复位。()A.正确B.错误参考答案:A17.状态机可以分为:米里型和摩尔型两类。()A.正确B.错误参考答案:A18.Ver

4、ilog HDL中assign为持续赋值语句。()A.正确B.错误参考答案:A19.仿真是EDA的精髓所在。()A.正确B.错误参考答案:A20.综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。()A.正确B.错误参考答案:A21.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。A.设计输入B.综合C.布局布线D.仿真和编程参考答案:ABCD22.Verilog HDL中整数型常量是不可以综合的。()A.正确B.错误参考答案:B23.浮栅编程元件一般用在民用、消费类产品中。()A.正确B.错误参考答案:A24.PLD器件的设计往往采用层次化的设计方法,分模块,分

5、层次地进行设计描述。()A.正确B.错误参考答案:A25.衡量仿真器性能的重要指标有哪些()。A.仿真速度B.仿真的准确性C.仿真的易用性参考答案:ABC26.PLA是Programmable Logic Array,可编程逻辑阵列的缩写。()A.正确B.错误参考答案:A27.布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。()A.正确B.错误参考答案:A28.目前常用的硬件描述语言为:Verilog HDL和VHDL。()A.正确B.错误参考答案:A29.SRAM是指静态存储器。()A.正确B.错误参考答案:A30.Verilog HDL数据类型是

6、用来表示数字电路中的物理连线、数据存储和传输单元等物理量的。()A.正确B.错误参考答案:A31.Verilog HDL和 VHDL目前还都不是IEEE标准。()A.正确B.错误参考答案:B32.SOC是System On Chip,芯片系统的缩写。()A.正确B.错误参考答案:A33.常用的综合工具有哪些()。A.FPGA ExpressB.FPGA compilerC.Synplify Pro参考答案:ABC34.CAE是Computer Aided Engineering,计算机辅助工程的缩写。()A.正确B.错误参考答案:A35.CAD是Computer Aided Design,计算

7、机辅助设计的缩写。()A.正确B.错误参考答案:A36.PROM(Programmable Read Only Memory),可编程只读存储器的缩写。()A.正确B.错误参考答案:A37.HDL是一种用文本形式来描述和设计电路的语言。()A.正确B.错误参考答案:A38.下面哪些是专业提供PLD器件厂商()。A.XilinxB.AlteraC.LatticeD.Micsoftware参考答案:ABC39.按照处理的HDL语言类型,仿真器可以分为()。A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器参考答案:ABC40.IP核中的软核与生产工艺无关,不涉及物理实现,为后

8、续设计留有很大空间。()A.正确B.错误参考答案:A41.数字设计流程中采用原理图方式适合描述电路的连接关系核接口关系。()A.正确B.错误参考答案:A42.ISP和专用的编程器是FPGA常用的两种编程方式。()A.正确B.错误参考答案:A43.Verilog语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。()A.正确B.错误参考答案:A44.JTAG是Joint Test Action Group,联合测试行动组的缩写。()A.正确B.错误参考答案:A45.Synplify是一种FPGA/CPLD的逻辑综合工具。()A.正确B.错误参考答案:A46.用PLD器件实现设计的优势有哪些()?A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD参考答案:ABC47.混合仿真器就是能同时支持Verilog和VHDL的仿真器。()A.正确B.错误参考答案:A48.SPLD器件分为几类()。A.PROMB.PLAC.PALD.GAL参考答案:ABCD49.PLD是一种全定制器件。()A.正确B.错误参考答案:B50.状态机设计主要包含三个对象:当前状态,次状态和输出逻辑。()A.正确B.错误参考答案:A

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 习题/试题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号