数字电压表设计-课程设计(共19页)

上传人:des****85 文档编号:216777576 上传时间:2021-11-30 格式:DOC 页数:19 大小:241.50KB
返回 下载 相关 举报
数字电压表设计-课程设计(共19页)_第1页
第1页 / 共19页
数字电压表设计-课程设计(共19页)_第2页
第2页 / 共19页
数字电压表设计-课程设计(共19页)_第3页
第3页 / 共19页
数字电压表设计-课程设计(共19页)_第4页
第4页 / 共19页
数字电压表设计-课程设计(共19页)_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《数字电压表设计-课程设计(共19页)》由会员分享,可在线阅读,更多相关《数字电压表设计-课程设计(共19页)(19页珍藏版)》请在金锄头文库上搜索。

1、精选优质文档-倾情为你奉上东 北 石 油 大 学课 程 设 计课 程 硬件课程设计 题 目 数字电压表设计 院 系 计算机与信息技术学院 专业班级 学生姓名 学生学号 指导教师 2009年 7 月 10 日东北石油大学课程设计任务书课程 硬件课程设计题目 数字电压表设计专业 计算机科学与技术学院姓名 学号 主要内容、基本要求等一、主要内容:利用EL教学实验箱、微机和Quartus软件系统,使用VHDL语言和层次设计方法,完成硬件设计和功能仿真。最后在EL教学实验箱中予以实现。二、基本要求:本实验中所要求设计的数字电压表为4位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成

2、了一个整体。1、A/D转换接口电路的设计,负责对ADC0809的控制。2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD码。3、输出七段显示电路的设计,负责将BCD码用7段数码管显示出来。三、参考文献1 潘松.EDA技术实用教程M.北京:科学出版社, 2003.11-13.2 包明.EDA技术与数字系统设计.北京航天航空大学出版社. 2002.3 EDA先锋工作室.Altera FPGA/CPLD设计M.北京:人民邮电出版社2005.32-33.4 潘松.SOPC技术实用教程M .清华大学出版社.2005.1-15.完成期限: 2周 指导教师: 专业负责人: 2012

3、年 6月 10日东北石油大学课程设计成绩评价表课程名称硬件课程设计题目名称数字电压表设计学生姓名序号评价项目指 标(优秀)满分评分1选题难度选题难度较高,或者对原题目进行了相当程度的改进。102工作量、工作态度和出勤率工作量饱满,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。103课程设计质量按期圆满的完成了规定的任务,方案设计合理,思考问题全面,系统功能完善。404报告质量问题论述思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。305回答问题在进行课程设计程序系统检查时,能正确回答指导教师所提出的问题。106创新(加分项)工作中有创新意识,对前人工作有改进或有应用价值。在

4、进行系统检查时能对创新性进行说明,并在报告中有相应的论述。+5总分评语:指导摘 要本文介绍了利用EDA-V硬件系统和微机上的Quartus7.2-II等软件系统。VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受

5、,并逐步取代了原有的非标准的硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。本文设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。关键词: EDA(电子设计自动化);VHDL(硬件描述语言),电压

6、表。目录专心-专注-专业第1章 概 述1.1 EDA的概念EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计

7、领域,可编程逻辑器件(如、)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计

8、算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽1。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。1.1.1 EDA技术及应用电子设计的必由之路是数字化,这已成为共识。在数字化的道路上,我国的电子技术经历了一系列重大的变革。从应用小规模集成电路构成电路系统,到广泛地应用微控制器或单片机(MCU),在电子系统设计上

9、发生了具有里程碑意义的飞跃。电子产品正在以前所未有的速度进行着革新,主要表现在大规模可编程逻辑器件的广泛应用。特别在当前,半导体工艺水平已经达到深亚微米,芯片的集成高达到干兆位,时钟频率也在向干兆赫兹以上发展,数据传输位数达到每秒几十亿次,未来集成电路技术的发展趋势将是SOC片上系统。从而实现可编程片上系统芯片CPU(复杂可编程逻辑器件)和5PGA(现场可编程门阵列)必将成为今后电子系统设计的一个发展方向。所以电子设计技术发展到今天,又将面临另一次更大意义的突破,5PGA在(电子设计自动化)基础上的广泛应用。从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应

10、用于前期的计算机模拟仿真、产品调试,而且也在后期的制作、电子设备的研制与生产、电路板的焊接、器件的制作过程等有重要作用。EDA技术的概念: EDA是电子设计自动化的由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布

11、局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。 可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板3。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发

12、展期,EDA技术将是对21世纪产生重大影响的十大技术之一。EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现

13、级可以是印刷电路板或专用集成电路(ASIC)。 由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋IC器件。 1.1.2 EDA技术发展趋势面对当今飞速发展的电子产品市场,设计师需要更加实用、快捷的EDA工具,使用统一的集成化设计环境,改变传统设计思路,将精力集中到设计构思、方案比较和寻找优化设计等方面,需要以最快的速度,开发出性能优良、质量一流的电子产品,对EDA技术提出了更高的要求。未来的EDA技术将在仿真、时序分析、集成电路自动测试、高速印刷电路板设计及开发

14、操作平台的扩展等方面取得新的突破,向着功能强大、简单易学、使用方便的方向发展4。1.2 EDA集成化开发环境Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点.包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具5。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号