EDA课程综述(出租车计费)

上传人:gg****m 文档编号:204550355 上传时间:2021-10-26 格式:DOC 页数:8 大小:81.50KB
返回 下载 相关 举报
EDA课程综述(出租车计费)_第1页
第1页 / 共8页
EDA课程综述(出租车计费)_第2页
第2页 / 共8页
EDA课程综述(出租车计费)_第3页
第3页 / 共8页
EDA课程综述(出租车计费)_第4页
第4页 / 共8页
EDA课程综述(出租车计费)_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《EDA课程综述(出租车计费)》由会员分享,可在线阅读,更多相关《EDA课程综述(出租车计费)(8页珍藏版)》请在金锄头文库上搜索。

1、设计报告课程名称 任课教师 设计题目 班级在系统编程技术XXXXX出租车计费XXXXXX/AXXXX学号日期XXXXXX2014.6.22前言EDA技术即电子设计自动化(Electronic Design Automation)技术,以 大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表 达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计 工具,通过有关的开发软件,口动完成用软件的方式设计电子系统到破件系统 的一门技术。EDA技术是-一种实现电子系统或电子产品自动化设计的技术,与 屯子技术、微电子技术的发展密切相关。同时它吸收了计算机科学领域的大多 数最新研

2、究成果,以计算机作为基本工作平台,利用计算机图形学、拓扑逻辑学 计算数学以至人工智能学等多种计算机应用学科的最新成果而开发出来的一整 套电子CAD通 用软件工具,是一种帮助电子设计工程帅从事电子组件产品和 系统设计的综合技术。EDA技术的出现,为电子系统设计带来了一场革命性的 变化。没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不 可想象的。随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国 防、航天、医学、工业口动化、计算机应用、仪器仪表等领域的电子系统设计 工作小,EDA技术的含量正以惊人的速度上升,电子类高新技术项FI的开发也更 加依赖于EDA技术的应用。即使是

3、普通的电子产品的开发,EDA技术常常使一 些原來的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比 大幅提高。不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部 分。在系统编程技术摘要:EDA的基本概念以及实现EDA的软件和硬件支持,和描述语言。EDA的设 计步骤,EDA的应用及发展。利用EDA工具,电子设计师可以从概念、算法、协 议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电 路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机丄自动处理 完成。关键词 :EDA技术 HDL语言 PLD一、利用什么软件来设计EDA?EDA工具层出不穷

4、,H前进入我国并具有广泛影响的EDA软件有:multiSIM7 (原 EWB 的最新版本)、PSPTCE. OrCAD. PCAD、Protel、Viewlogic、Mentor. Graphics Synopsys LSIIogic Cadence MicroSim 等等。这些工具都有较强 的功能,一-般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进 还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。而我们上课所使用的软件是Quartus II design。Quart us II design是 最高级和复杂的,用于system-on-a-programmabl

5、e-chip (SOPC)的设计环境。 Quartus 11 design 提供完善的 timing closure 和 LogicLock 基于块的设计 流程。QuartusII design是唯个包括以timing closure和基于块的设计流为基本特征的 programmable logic device (PLD)的软件。Quartus II 设计 软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提 供FPGA与mask-programmed devices开发的统一工作流程。Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台 支持一

6、个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。 Qu ar tus 平台与 Cadence ExemplarLogic MentorGraphics Synopsys 和 Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设 计功能,増添了 FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。 还支持MAX7000/MAX3000等乘积项器件。二、设计EDA的硬件支持1、FPGAFPGA (Field Programmable Gate Array),即现场可编程门阵列,它是在 PAL、GAL、CPLD等可编程器件的基础上

7、进一步发展的产物。它是作为专用集成 电路(ASTC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又 克服了原有可编程器件门电路数有限的缺点cFPGA采用了逻辑单元阵列LCA (Logic Cell Array)这样一个概念,内部 包括可配置逻辑模块CLB(Configurable Logic Block)输出输入模块lOBCInput Output Block)和内部连线(Interconnect)三个部分。现场可编程门阵列(FPGA) 是可编程器件。与传统逻辑电路和门阵列(如PAL, GAL及CPLD器件)相比,FPGA 具有不同的结构,FPGA利用小型查找表(16X1RAM)来

8、实现组合逻辑,每个查 找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O, 由此构成了既可实现组合逻辑功能乂可实现吋序逻辑功能的基本逻辑单元模块, 这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内 部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单 元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了 FPGA 所能实现的功能,FPGA允许无限次的编程。H前以破件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简 单的综合与布局,快速的烧录至FPGA上进行测试,是现代IC设计验证的技术 主流。这些可编

9、辑元件可以被用來实现一些基本的逻辑门电路(比如AND、OR、 XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的 FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip flop)或 者其他更加完整的记忆块。2、CPLDCPLD(Complex卩rogrammable Logic Device)复杂可编程逻辑器件,是从 PAL和GAL器件发展出来的器件,相对血言规模大,结构复杂,属于大规模集成 电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基 本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,牛成相 应的H标文件,通过下载

10、电缆(“在系统”编程)将代码传送到H标芯片中,实 现设计的数字系统。CPLD主要是由可编程逻辑宏单元(MC, Macro Cell)围绕中心的可编程互连 矩阵单元组成。其中MC结构较复杂,并具有复杂的I/O单元互连结构,可由用 户根据需要牛成特定的电路结构,完成一定的功能。由于CPLD内部采用固定长 度的金属线进行各逻辑块的互连,所以设计的逻辑电路具有时间可预测性,避免 了分段式互连结构吋序不完全预测的缺点三、EDA设计硬件描述语言HDLHDL文本输入硬件描述语言是用文本的形式描述硬件电路的功能,信号连 接关系以及时序关系。它虽然没有图形输入那么直观,但功能更强,可以进行大 规模,多个芯片的数

11、字系统的设计。常用的HDL有ABEL, VHDL和壮rilog HDL 等。主流的HDL分为VHDL和Verilog HDL。VHDL诞生于1982年。在1987年底, VHDL被IEEE和美国国防部确认为标准硬件描述语言。H IEEE公布了 VHDL的标 准版本,TEEE- 1076 (简称87版)之后,各ED八公司相继推出了自己的VIIDL设 计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得 到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言Verilog HDL 是由 GDA(Gateway Design Automation)公司的 PhilMoorby

12、 在1983年末首创的,最初只设计了一个仿真与验证工具,Z后又陆续开发了相 关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器 Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989 年CADENCE公司收购了 GDA公司,使得VerilogHDL成为了该公司的独家专利。 1990年CADENCE公司公开发表了 Verilog HDL,并成立LVI纽织以促进Verilog HDL成为IEEE标准,即IEEE Standard 1364-1995。由于GDA公司本就偏重于硬 件,所以不可避免地Verilog IIDL就偏重于硬件一

13、些,故Verilog HDL的底层统 合做得非常好。而VHDL的逻辑综合就较之Verilog HDL要出色一些。所以, Verilog HDL着重强调集成电路的综合,而VHDL强调于组合逻辑的综合H前在我国广泛应用的硬件描述语言主要有:ABEL语言、AHDL语言、 Verilog语言、和VHDL语言,其中Verilog语言和VHDL语言最为流行。四、EDA设计步骤1)工程师按照“自顶向下”的设计方法进行系统划分。2)输入VIIDL代码,这是高层次设计中最为普遍的输入方式。此外,还可 以采用图形输入方式(框图,状态图等),这种输入方式具有直观、容易理解的 优点。3)将以上的设计输入编译成标准的V

14、IIDL文件。4)进行代码级的作用仿真,主要是检验系统作用设计的正确性。这一步骤 适用大型设计,因为对于大型设计来说,在综合前对源代码仿真,就可以大大减 少设计重复的次数和时间。一般情况下,这一仿真步骤可略去。5)利用综合器对VHDL源代码进行综合优化处理,牛成门级描述的网络表 文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASTC芯片 供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才 能完成。6)利用产牛的网络表文件进行适配前的吋序仿真,仿真过程不涉及具体器 件的硬件特性,是较为粗略的。一般的设计,也可略去这一仿真步骤。7)利用适配器将综合后的网络表文件

15、针对某一具体的H标器件进行逻辑映 射操作,包括底层器件配置、逻辑分割、逻辑优化、布局布线c8)在适配完成后,产牛多项设计结果:(1)适配报告,包括芯片内部资源 利用情况,设计的布尔方程描述情况等;(2)适配后的仿真模型;(3)器件编程文 件。根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的 实际硬件特性(如时延特性),所以仿真结果能比较精确地预期未來芯片的实际 性能。如果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度 和品质的器件,直至满足设计要求;9)将适配器产牛的器件编程文件通过编程器或下载电缆载入到H标芯片 FPGA或CPLD中。如果是大批量产品开发,则通过更换相应的厂家综合库,轻易 地转由ASTC形式实现。五、EDA的应用EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用在教学方面,儿乎所有理工科(特别是电子信息)类的高校都开设了 EDA 课程。主要是让学牛了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、 掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事 简单系统的设计。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿 真;利用虚拟仪器进行产晶测试;将CPLD/FPGA器件实际应用到仪器设备中;从 事

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号