利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计

上传人:ji****81 文档编号:189881295 上传时间:2021-08-07 格式:DOCX 页数:5 大小:174.17KB
返回 下载 相关 举报
利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计_第1页
第1页 / 共5页
利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计_第2页
第2页 / 共5页
利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计_第3页
第3页 / 共5页
利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计_第4页
第4页 / 共5页
亲,该文档总共5页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计》由会员分享,可在线阅读,更多相关《利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计(5页珍藏版)》请在金锄头文库上搜索。

1、 利用FPGA器件FLEX EP10K50芯片实现DDS电路的设计 直接数字频率合成(Direct Digital Frequency Synthesis)是从相位概念出发直接合成所需波形的一种新的频率合成技术。目前各大芯片制造厂商都相继推出采用先进的CMOS工艺生产的高性能和多功能的DDS芯片(其中应用较为广泛的是AD公司的AD985X系列),为电路设计者提供了多种选择。然而在某些场合,专用的DDS芯片在控制方式、置频速率等方面与系统的要求差距很大,这时如果用高性能的FPGA器件设计符合自己需要的DDS电路就是一个很好的解决方法。1 DDS电路工作原理图1是DDS电路的基本原理框图。DDS的

2、工作原理是以数控振荡的方式产生频率、相位可控的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器(DAC)和低通滤波器(LPF)。具体工作过程如下:每来一个时钟脉冲fc,N位累加器将频率控制数据M与相位寄存器输出的累加相位数据相加,把相加后的结果送至相位寄存器的输入端。相位寄存器一方面将在上一时钟周期作用后所产生的新的相位数据反馈到累加器的输入端,以使累加器在下一时钟的作用下继续与频率控制数据相加;另一方面将这个值作为取样地址值送入幅度/相位转换电路(图1中的正弦查找表),幅度/相位转换电路根据这个地址值输出相应的波形数据。最后经D/A转换器和低通滤波器将波

3、形数据转换成所需要的模拟波形。DDS输出信号的频率为:可见,通过设定相位累加器位数、频率控制字和基准时钟的值,就可以产生任一频率的输出。DDS的频率分辨率为: 由于基准时钟一般固定,因此相位累加器的位数就决定了频率分辨率,位数越多,分辨率越高。2 利用FPGA设计DDS电路在FPGA设计DDS电路时,相位累加器是DDS电路的一个关键部分,高效快速的累加器能提高DDS的性能。累加器可以利用FLEX器件的进位链,同时结合流水处理措施得到快速高效的电路结构。相位/幅度转换电路是DDS电路中的另一个关键部分,该电路通常采用ROM结构,设计中面临的主要问题就是资源的开销。相位累加器的输出是一种数字式锯齿

4、波,通过取它的若干位作为ROM的地址输入,然后通过查表和运算,ROM就能输出所需波形的数据。在FPGA(Altera器件)中,ROM一般由EAB实现,并且ROM表的尺寸随着地址位数或数据位数的增加成指数递增,因此在满足信号性能的前提下,如何减少资源的开销就是一个重要的问题。在实际运用中,相位/幅度转换电路的主要问题在于ROM的大小(正弦波形存储器的字节数决定了相位量化误差,每个单元内的比特数决定了幅度量化误差),因此设计时充分利用信号周期内的对称性和算术关系来减少ROM开销。考虑以下的优化方式:余弦波信号对于 直线成偶对称,基于此可以将ROM表减少至原来的1/2,再利用左半周期内,波形对于成奇

5、对称,进一步将ROM表减至最初的1/4,因此可以通过一个正弦码表的前1/4周期就可以变换得到正弦和余弦的整个周期码表。这样就节省了3/4的资源。DDS电路的电路结构设计如图2所示。3 利用FLEX EP10K50实现DDS电路EP10K50是Altera公司FLEX系列产品,EP10K50芯片的存储单元有10个EBA块组成,每个EBA块的存储容量为2048位,总存储容量达到20480位。因此可以充分利用它的存储容量来配置ROM表,从而实现相位/幅度转换电路。在这里将存储容量配置成两个1024x8的ROM表,一个为正弦表,另一个为余弦表,实现一个累加器为10位的DDS电路,仿真结果如图3。上图是

6、DDS电路的MAX+PLUS波形仿真结果。其中累加器字长N=10。频率控制字freq为H010,时钟clk为10MHz,查找表的深度为10位,字宽为8位。out_s和out_c分别为DDS输出的正弦和余弦信号。根据公式,输出的正弦和余弦信号的频率为:为了便于调试设计电路,可以利用计算机高级语言将MAX+PLUS波形仿真结果转换为波形曲线,在这里借助MAX+PLUS的表格文件(.tbl)。在图3的波形仿真结果中,在FILE菜单中选择Creat Table Files,自动生成dds.tbl文件。通过编写一段Matlab程序,将clk、out_s和out_c引脚上的数据读出,然后绘出DDS电路的仿真波形曲线,如图4所示。4 结论利用FPGA实现DDS电路可以根据系统要求在控制方式、置频速率等方面实现得更加灵活。随着超大规模集成电路技术的飞速发展,DDS电路中关键的相位累加器和相位/幅度转换电路在高性能的FPGA器件中得到解决,使设计者可以设计出符合自己性能需要的DDS电路。责任编辑:gt-全文完-

展开阅读全文
相关资源
相关搜索

当前位置:首页 > IT计算机/网络 > 云计算/并行计算

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号