基于verilog的fpga的电子密码锁的设计.doc

上传人:F****n 文档编号:98878327 上传时间:2019-09-15 格式:DOC 页数:17 大小:598.50KB
返回 下载 相关 举报
基于verilog的fpga的电子密码锁的设计.doc_第1页
第1页 / 共17页
基于verilog的fpga的电子密码锁的设计.doc_第2页
第2页 / 共17页
基于verilog的fpga的电子密码锁的设计.doc_第3页
第3页 / 共17页
基于verilog的fpga的电子密码锁的设计.doc_第4页
第4页 / 共17页
基于verilog的fpga的电子密码锁的设计.doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《基于verilog的fpga的电子密码锁的设计.doc》由会员分享,可在线阅读,更多相关《基于verilog的fpga的电子密码锁的设计.doc(17页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的电子密码锁的设计报告摘要:基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。 通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。当然,该系统在一些细节的设计上还需要不断地完善和改进,特别是对系统的扩展有很好的使用系统和

2、设计的价值。关键词:现场可编程门阵列;VHDL语言;电子密码锁The Report Of Electronic Code Lock DesignAbstract:FPGA-based design of the electronic code lock is a small digital system. It has many unique advantages:good privacy and security , it do not need the key but remember password to unlock, and so on while it compare to or

3、dinary mechanical locks. At present, the electronic code lock is most used of SCM technology .In practice, however, the process easy run to fly. So the reliability of this system is poor. The paper introduced a field programmable gate arrays FPGA devices to design electronic password lock. The VHDL

4、language is used to describe the system and achieved in EP3C10E144C8. Though the simulation tests, using FPGA-based design of the electronic code lock can achieve the expected goal. Of course, some of the details of the system in the design of the need to constantly refined and improved, in particul

5、ar the expansion of the system have a good design and practical value.Keyword: FPGA; VHDL language; electronic password lock一、设计内容与要求1 设计一个密码锁,密码为一个4位的十进制数,密码固化在锁内 2 用户输入密码正确,则开锁(试验箱D7 LED灯亮);若不正确,则报警(试验箱D0 LED灯亮) 3 若用户输入密码不正确,可以按复位键重新输入密码。 二、设计思想2.1 系统原理框图本系统由主控芯片(FPGA),键盘,显示电路,报警电路和开/关门电路组成,而主控芯片又

6、可分为按键处理部分,控制部分和译码显示部分。系统原理框图如图2.1所示:键盘按键处理主控部分译码显示显示开/关门电路报警电路FPGA图2.1 系统框图2.2 总体实现原理本系统有8个按键,K0,K1,K2,K3,K4,K5代表数字0-9共10个数字和1个确认键,1个复位键。密码长度为四位,并且固化在锁内,输入正确密码后,按确认键即可开门,本系统设置为LED D7灯亮。在输入密码的过程中,当用户键入错误密码时,报警灯LED D0灯亮。按下复位键,可使报警停止,同时清除所有密码显示。三、芯片主控设计3.1 FPGA有限状态机本设计是通过FPGA有限状态机来实现,设计有限状态机最开始的工作时要确定电

7、路,包括哪些状态,比如某个电路包括四个状态,S0,S1,S2,S3。然后对所有状态给出一个状态编码,比如为状态S0赋予编码00,为状态S1赋予编码01,为状态S2赋予编码10,为状态S3赋予编码11。状态编码是状态的标识,保存在寄存器当中,对于此编码形式,只需一个2位的寄存器就可以了。FSM Encoding Style 主要有:Binary Encoding One Hot Encoding Gray Encoding 二进制与一位热码的特性比较:表3.1 二进制与一位热码的特性比较状态机可以认为是组合逻辑和寄存器逻辑的特殊租户,它一般包括两个部分:组合逻辑部分和寄存器逻辑部分。寄存器用于存

8、储状态,组合电路用于状态译码和产生输出信号。状态机的下一个状态及输出,不仅与输入信号有关,而且还有寄存器当前所处的状态有关。根据输出信号产生方法的不同,状态机可以分成两类:Mealy型和Moore型。Moore型状态机的输出只是当前状态的函数,而Moore型状态机的输出只是当前状态的函数,而Mealy型状态机的输出则是当前状态和当前输入状态的函数。其原理如下两图:图3.1Mealy型状态机输出原理图3.2 Moore型状态机输出原理3.2设计流程本次密码锁的设计,有限状态机应该包括以下状态:密码为输入前的等待状态、输入密码时的等待状态、输入密码正确时的通过状态、输入密码错误时的警报状态。图3.

9、3 主有效状态机的状态转换图其中当密码输入时又可包括以下状态,正常输入状态、异常输入状态(包括命令状态)、输入确认状态。下面的图(图是在程序编译后,tools-Netlist_Vewers-RTL Vewer得到的)表示了密码输入的时候的次状态机,表示了4个密码输入的顺序状态,以及输入完成后的等待确认状态。图3.4次有效状态机的状态转换3.3状态编码状态编码主要有二进制编码、格雷编码和一位独热编码等方式。格雷编码时,相邻状态每次只有一个比特位产生变化,这样减少了瞬变的次数,也减少了产生毛刺和一些状态的可能。采用一位独热编码,虽然多用了触发器,当可以有效节省和简化组合电路。对于寄存器数量多而逻辑

10、相对缺乏的FPGA器件来说,采用一位独热编码可以有效提高电路的速度和可靠性,也有利于提高器件资源的利用率。将产生状态的组合逻辑电路和用于保存状态的寄存器分别写在不同的always块中。其中主要包括:输出控制部分、警报计时部分、锁打开后的计时部分、比较密码部分、记录密码部分和记录错误次数的部分3.4密码的输入本次密码锁的密码输入采用FPGA芯片上的8位单个按键,考虑到按键数目不够,采用了一位按键作为功能转换按键;即前5位按键输入04,同时按下功能转换按键时,按键04即转换为按键59,这就弥补了按键数目的不足。最后两位按键设定为确认输入按键和复位按键。密码输入完成后可以按确认键检验密码的正误,报警

11、、输入错误或者其他情况可以按复位按键重新输入。另外由于按键的时候同时会引起状态机的转换,所以如果按键的时候对按键判断次数过多会产生状态的过快转换,记录的密码和数码管的显示就同时会出现错误,因此在按键部分加入了消除多重按键的程序,只检测一次按键的下降沿,解决了这个问题。3.5 密码记录与比较程序设定了一个寄存器用来记录输入的密码。当次有效状态机(即密码输入的状态机)发生转换并且有密码输入时,程序会记录下输入的密码在寄存器的其中4位里面,最后次有效状态转换到确认密码的状态时,会将记录下的密码与固化在锁内的密码进行对比,正确即将主状态机转换到通过阶段,错误则将状态机转换到报警阶段。其中正确错误的状态

12、转换是通过控制相应的标志位实现的。3.6密码的显示密码显示采用数码管动态扫描显示,初始时显示密码为4位0,当输入密码后数码管的第一位、第二位、第三位、第四位会依次显示输入的密码,错误后复位可以重新输入。密码显示采用的是记录密码的寄存器的数据,显示扫描的扫描时间设置为1ms左右,这样显示不会出现闪烁或者残影。四、程序仿真下前面的输入cmd的编码:/输入的数字编码 09,enter,cancelone=4b0001, two=4b0010,three=4b0011,four=4b0100,five=4b0101,six=4b0110,seven=4b0111,eight=4b1000,nine=4

13、b1001,zero=4b1000,enter=4b1010,cancel=4b1011; 可以看到,在复位以后,输入第1,2,3,4个密码(依次为1111)后,passed变成高电平当过了一定的时间后,passed变成低电平,重新计入键盘读入值,进行下一轮的密码辨别。五、程序清单module passwd_lock(clk0,passed,one1,two1,three1,four1,zero1,change,yes, resetb, seg, dig);input one1,two1,three1,four1,zero1,change;reg zero,one,two,three,four

14、;input yes; inputresetb;/输入复位信号inputclk0;/输入时钟信号output7:0passed;/输出信号output 7:0 seg;/段选output 7:0 dig;/位选 reg key_pressed_flag; / 键盘按下标志reg 3:0 key;reg RXBuf0,RXBuf1,RXBuf2,RXBuf3,RXBuf4;/displayreg clk0_div;reg 2:0 digyi;/yiwei/reg 7:0 dig;/weixuanreg 3:0 seg0;/duanxuanreg 7:0 seg;reg 12:0 CNT_R0;reg 18:0 CNT_R1;reg clk1;reg 21:0 CNT_R2;reg clk2;reg7:0passed;reg7:0

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号