eda多功能数字钟设计.doc

上传人:F****n 文档编号:97890888 上传时间:2019-09-07 格式:DOC 页数:19 大小:422KB
返回 下载 相关 举报
eda多功能数字钟设计.doc_第1页
第1页 / 共19页
eda多功能数字钟设计.doc_第2页
第2页 / 共19页
eda多功能数字钟设计.doc_第3页
第3页 / 共19页
eda多功能数字钟设计.doc_第4页
第4页 / 共19页
eda多功能数字钟设计.doc_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《eda多功能数字钟设计.doc》由会员分享,可在线阅读,更多相关《eda多功能数字钟设计.doc(19页珍藏版)》请在金锄头文库上搜索。

1、19多功能数字钟设计多功能数字钟在我们的日常生活中有着非常广泛的应用,它以操作简单 ,功能丰富,性能稳定,体小精致深受大众青睐。在相关数字电路理论知识的基础之上,本实验利用QuartusII软件设计一个多功能数字计时器,并下载到SmartSOPC实验系统中。这个数字计时器,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能,这些功能相互独立,却又互相协调配合。关键词 QuartusII软件 SmartSOPC实验系统 多功能数字钟Title Multi-function digital clock design diss

2、ertationAbstractMulti-function digital clock in our daily life is very extensive application, it is favorred by people for simple operation, stable performance, rich of functions and small delicate . Based on the related theoretical knowledge of digital circuit, This experiment uses the QuartusII de

3、sign software to design a multi-function digital timer and download it to the SmartSOPC experiment system. The digital timer can finish 00:00:00 to 23:59:59 timer function. And in the control of the contrl circuit under the action of keeping, resetting, rapid schooling and alarming on time. these fu

4、nctions, but also mutually independent coordinates.Keywords QuartusII、SmartSOPC experimental system、Multi-function digital timer。在能力与知识结构方面,要求学生应具有扎实的专业和日语语言基础,熟练掌握日语听、说、读、写、译的基本技能;了解日本社会及日本文化等方面的基本知识,熟悉日本国情,具有一定的日本人文知识及运用这些知识与日本人进行交流的能力。目录一、设计要求3二、工作原理3三、基本计时电路子模块说明51、脉冲发生模块52、计时模块73、译码显示模块114、校分校时

5、保持模块135、清零模块156、整点报时模块15四、扩展模块161、消颤开关16五、总电路显示17六、调试、编程下载18 七、实验总结18八、参考文献191、 设计要求1. 设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、校时、校分、整点报时等基本功能。2. 数字钟的基本功能以及开关介绍: (1)能进行正常的时、分、秒计时功能,最大计时显示23小时59分59 秒。 (2)分别由六个数码管显示时分秒的计时。 (3)K1是系统的使能开关,K1=0正常工作,K1=1时钟保持不变。 (4)K2是系统的清零开关,K2=0正常工作,K2=1时钟

6、的分、秒全清零。 (5)K3是系统的校分开关,K3=0正常工作,K3=1时可以校分。 (6)K4是系统的校时开关,K4=0正常工作,K4=1时可以校时。3. 数字钟的附加功能以及开关介绍 (1)时钟具有整点报时功能,当时钟计到5951”时开始报时,在59 51”,5953”, 5955”,5957” 时报时频率为500Hz,5959” 时报时频率为1KHz。 (2)每个开关前都有消颤模块为开关消颤。4. 仿真与验证 用Quartus软件对设计电路进行功能仿真,并下载到实验板上对其功能 进行验证。二、工作原理数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,控制电路按要

7、求可由校分校时保持电路和清零电路组成。其中,脉冲发生电路将试验箱提供的48Mhz的频率分成电路所需要的频率;计时电路与动态显示电路相连,将时间与星期显示在七段数码管上,并且驱动蜂鸣器整点报时;校时校分保持电路对时、分、提供校时,内含有保持电路,当保持电路作用时,系统停止计时并保持时间不变;清零电路作用时,系统的分秒时同时归零。译码显示电路报时电路脉冲发生电路计时电路保持电路校分校时电路清零电路清零开关k2保持开关 k1校分开关k3校时开关 k4 数字计时器基本功能是计时,因此首先需要获得具有精确振荡时间的脉振信号,以此作为计时电路的时序基础,实验中可以使用的振荡频率源为48MHZ,通过分频获得

8、所需脉冲频率(1Hz,1KHz,500Hz)。为产生秒位,设计一个模60计数器,对1HZ的脉冲进行秒计数,产生秒位;为产生分位,通过秒位的进位产生分计数脉冲,分位也由模60计数器构成;为产生时位,用一个模24计数器对分位的进位脉冲进行计数。整个数字计时器的计数部分共包括六位:时十位、时个位、分十位、分个位、秒十位和秒个位。 显示功能是通过数选器、译码器、码转换器和7段显示管实现的。因为实验中只用一个译码显示单元,6个7段码(用于显示时分秒),所以通过4个7选一MUX和一个3-8译码器配合,根据计数器的信号进行数码管的动态显示。 清零功能是通过控制计数器清零端的电平高低来实现的。只需使清零开关按

9、下时各计数器的清零端均可靠接入有效电平(本实验中是低电平),而清零开关断开时各清零端均接入无效电平即可。 校分校时功能由防抖动开关、逻辑门电路实现。其基本原理是通过逻辑门电路控制分计数器的计数脉冲,当校分校时开关断开时,计数脉冲由低位计数器提供;当按下校分校时开通时,有恒定的1Hz脉冲提供恒定的进位信号,计数器在此脉冲驱动下可快速计数。为实现可靠调时,采用防抖动开关克服开关接通或断开过程中产生的一串脉冲式振动。 保持功能是通过逻辑门控制秒计数器使能端实现的。按下开关或是校分校时时保持,正常情况下,开关不影响脉冲输入即秒正常计数,当按下开关后,使脉冲无法进入计数端,从而实现计时保持功能。整点报时

10、功能可以通过组合逻辑电路实现。当计数器的各位呈现特定的电平时,可以选通特定的与门和或门,将指定的频率信号送入蜂鸣器中,实现在规定的时刻以指定频率发音报时。3、 基本计时电路子模块说明1、 脉冲发生模块SmartSOPC实验箱只提供了48MHz的高频脉冲,而作为计时器秒位的计数以及校时校分信号,需要频率为1Hz的脉冲;作为蜂鸣器的驱动信号,分别需要频率为500Hz和1KHz的脉冲,所以我们需要将48MHz的高频脉冲进行分频,得到需要的三个低频脉冲信号,故脉冲发生电路也可被称为分频器。总电路原理图如下图所示:(1) 二分频电路2分频的分频器用74160实现,原理图以及仿真波形如下图所示,需要分频的

11、脉冲信号从时钟端输入,而从输出端QA就可以得到2分频以后的脉冲信号。(2) 六分频电路6分频的分频器用74160实现,原理图如下图所示,需要分频的脉冲信号从时钟端输入,这里设计了如下一个计数循环: 000,001,010,011,100,101。采用高位作为分频信号输出,而从输出端QC就可以得到6分频以后的脉冲信号。(3) 十分频电路十分频的分频器用74160就可以实现,原理图如下图所示,需要分频的脉冲信号从时钟端输入,实现如下循环:0000,0001,0010,0011,0100,0101,0110,0111,1000,1001,采用QC输出,占空比为4:10。 (4)48分频采用一个6分频

12、,和三个2分频电路共同组合而成。2、 计时模块为了实现多功能数字钟,最重要的设计是计时功能的设计,这也是数字钟最基本的功能。分析可知,一般数字钟由时、秒、分三位组成,计时范围为0时0分0秒到23时59分59秒,需要三个计数器分别计时、分、秒,且均为2位十进制计数器,即8位二进制计数器,而时、分、秒计数器分别为模24、模60、模60,且三个计数器之间构成进位关系:秒计数器给分计数器提供计数脉冲信号,秒计数器与分计数器一同给时计数器提供计数脉冲信号。很显然,就本实验而言,选择74160(BCD码计数器)和74161(4位二进制计数器)是比较合适的,但从全局设计考虑,鉴于需要有异步清零端,所以从中选

13、择74160(具有异步清零端、同步置数端及使能端)作为3个计数器的基本集成块。(1) 模60计数器(秒位和分位) 前一个74160为个位,后一个为十位,每当个位计数到1001时,RCO由0变为1,将十位的ENT置位,十位的74160计1,当十位的计数到5(0101),个位的计数到9(1001)时,正好是60,此时置位两个计数器,重新由0开始,这样就完成了模60计数。74160置位端LDN低电平有效,因此将59时个位的QD,QA,十位的QA,QC与非之后送给LDN。在0-59之间时,LDN=1,无效;59时,LDN=0,计数器将被置位为0。当秒计时至59秒时由四与非门输出一个低电平将秒个位及秒十

14、位置零,同时变换此低电平为高作为进位信号传递给分个位。 电路原理图以及仿真波形如下所示:(2) 模24电路(时位)模24计数器原理同模60,个位为3,十位为2时置位为0,即将个位的QB,QA和十位的QB经与非门接入LDN。使得进位信号设计在23时置零进位,并且要等到秒与分信号都计到59时它才能进位清零,故清零信号的输入还要添加秒和分计时模块的输出。 电路原理图以及仿真波形如下所示:(3) 整体计数电路原理图、仿真波形以及封装图如下:3、 译码显示模块因为实验要求只用一个显示译码器7447,所以考虑用动态扫描显示法进行数据显示,即每次只显示一位,按照一定的显示时间间隔轮流显示。在本实验中一共需要6个数码管参与显示(秒2位,分2位,时2位),所以计数器74163设计为模6的循环,并进行封装,其输出既作为4片74151的控制端,又作为38译码器74138的控制端。因为只有一片BCD译码器7447,所以当计数器到某一个数值时,四片74151同时选取对应位的一个输入组成计时器某

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号