【2017年整理】VHDL交通灯控制器_实验报告

上传人:爱****1 文档编号:941997 上传时间:2017-05-23 格式:DOC 页数:20 大小:227KB
返回 下载 相关 举报
【2017年整理】VHDL交通灯控制器_实验报告_第1页
第1页 / 共20页
【2017年整理】VHDL交通灯控制器_实验报告_第2页
第2页 / 共20页
【2017年整理】VHDL交通灯控制器_实验报告_第3页
第3页 / 共20页
【2017年整理】VHDL交通灯控制器_实验报告_第4页
第4页 / 共20页
【2017年整理】VHDL交通灯控制器_实验报告_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《【2017年整理】VHDL交通灯控制器_实验报告》由会员分享,可在线阅读,更多相关《【2017年整理】VHDL交通灯控制器_实验报告(20页珍藏版)》请在金锄头文库上搜索。

1、一、设计要求-二、设计目的-三、设计方案-四、设计程序-五、管脚分配-六、硬件下载实现现象描述-七、体会、对设计工作的总结与展-一、设计要求: 在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一方向(东西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。 设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是20s、5s和25s。 当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。二、设

2、计方案:计数器的计数值与交通灯亮灭的关系如图1所示。4 94 9计数值( 时间 )00东西方向南北方向2 44 42 41 9绿灯亮红灯亮绿灯亮红灯亮黄灯亮黄灯亮图 1 计数值与交通灯亮灭的关系显然,本课题的核心是一个计数范围为 049(共 50 s )的计数器和一个根据计数值做出规定反应的控制器。另外,所用实验箱配备的晶振为 20MH z,因此还需要一个分频电路。最后,要驱动七段数码管,显然还需要一个译码电路。根据上面的分析,可以画出如图 2 所示的系统框图。计数器分频电路红 、 黄 、 绿发光二极管控制器分位译码电路 七段数码管倒计时数字及“ 闪烁控制信号 ”C L K 1 0 H zC

3、l k 1 H z计数值h o l dr e s e t2 0 M H zC P L D / F P G A图 2 交通灯控制器系统框图2、计数器的设计这里需要的计数器的计数范围为 049。计到 49 后,下一个时钟沿回复到 0,开始下一轮计数。此外,当检测到特殊情况(Hold=1 )发生时,计数器暂停计数,而系统复位信号 Reset 则使计数器异步清 0。3、控制器的设计控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数译管的分位译码电路。此外,当检测倒特殊情况(Hold=1)发生时,无条件点亮红色的发光二级管。由于控制器要对计数值进行判断,很容易想到用 IF

4、 语句来实现。本控制器可以有两种设计方法,一种是利用时钟沿的下降沿读取前级计数器的计数值,然后做出反应;另一种则是将本模块设计成纯组合逻辑电路,不需要时钟驱动。这两种方法各有所长,必须根据所用器件的特性进行选择:比如有些 FPGA 有丰富的寄存器资源,而且可用于组合逻辑的资源则相对较少,那么使用第 1 种方法会比较节省资源;而有些 CPLD 的组合逻辑资源则相对较多,用第 2 种方法可能会更好。大家可尝试两种方法,比较一下哪种方法所用资源较少,然后在最后的方案中采用这个方法。4、分位译码电路的设计因为控制器输出的倒计时数值可能是 1 位或者 2 位十进制数,所以在七段数码管的译码电路前要加上分

5、位电路(即将其分为 2 个 1 位十进制数,如 25 分为 2 和 5,7 分为 0 和 7) 。 与控制器一样,分位电路同样可以由时钟驱动,也可以设计成纯组合逻辑电路。控制器中,引入了寄存器。三、 程序语言:-交通灯带有点阵显示-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY jtd IS PORT(duan : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);- 数码管显示 bcd 码 from 100

6、to 91hang,lie:out std_logic_vector(7 downto 0); -点阵行输出和列输出led,light_cs : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); - 数码管和灯扫描 led 6 5 2 1 | light 66 67 68 69led_no: OUT STD_LOGIC_VECTOR(1 DOWNTO 0); - 4 3light : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);-灯 72 70 71B1eep : OUT STD_LOGIC; - 7clk,jinji : IN STD_LOGIC- 频

7、率输入和紧急处理端-);END jtd;ARCHITECTURE one OF jtd ISSIGNAL clk_1k,clk_1 : STD_LOGIC;SIGNAL cnt4 : INTEGER RANGE 0 TO 3; -用于计数-SIGNAL num,num1,num2,num3,num4 : INTEGER RANGE 0 TO 9;SIGNAL L1,L2: STD_LOGIC_VECTOR(2 DOWNTO 0);-灯显示-SIGNAL time1,time2: INTEGER RANGE 0 TO 25;-时间显示-signal t:std_logic_vector(2 do

8、wnto 0); -点阵信号传输signal q:std_logic_vector(1 downto 0);-点阵输出显示信号-BEGINled_no hang hang hang hang hang hang hang hanghang shu:=0;when 001 = shu:=1;-变量的赋值是立即发生的when 010 = shu:=2;when 011 = shu:=3;when 100 = shu:=4;when 101 = shu:=5;when 110 = shu:=6;when 111 = shu:=7;when others =null;end case;case q i

9、s-再根据相应的值送到列上去when 01=case shu is when 0 = lie lie lie lie lie lie lie lieliecase shu is when 0 = lie lie lie lie lie lie lie lieliecase shu is when 0 = lie lie lie lie lie lie lie lielienull;end case;end process;-1s 分频加计数-PROCESS(clk_1k)VARIABLE count_1k : INTEGER RANGE 0 TO 499;BEGINIF clk_1kEVENT

10、 AND clk_1k=1 THENIF cnt4=3 THENcnt4 num1 num1 num1 num1 num3 num3 num3 num3ledledledledledduanduanduanduanduanduanduanduanduanduanduantime1time1time1time1time2time2time2time2L1 L1=000;L2=000;end case;end if;END IF;END PROCESS;END one;四、管脚分配五、硬件下载实现现象描述硬件上的实现是(1)、东西方向的绿灯,跟黄灯亮25s时,南北方向亮着红灯,当南北方向红灯亮到2

11、5s时,将变成绿灯,而在东西方向黄灯亮5s 时蜂鸣器同时响5s,(2)、而与此同时,一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是20s、5s 和25s。(3)、点阵也会显示出东西,南北方向通行是的指示标。(4)、当拨码开关拨表示出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁,点阵出现X禁止通行的标号。当特殊运行状态结束后(即拨回拨码开关),控制器恢复原来状态,继续正常运行。六:体会、对设计工作的总结及展望这次的交通灯控制器是将点阵,彩灯,数码管的程序结合起来编写的,刚开始是真的觉得很难,但是通过慢慢的分析开来,最后终于成功了。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 研究报告 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号