一位全减器的设计

上传人:豆浆 文档编号:92143058 上传时间:2019-07-07 格式:DOCX 页数:6 大小:235.06KB
返回 下载 相关 举报
一位全减器的设计_第1页
第1页 / 共6页
一位全减器的设计_第2页
第2页 / 共6页
一位全减器的设计_第3页
第3页 / 共6页
一位全减器的设计_第4页
第4页 / 共6页
一位全减器的设计_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《一位全减器的设计》由会员分享,可在线阅读,更多相关《一位全减器的设计(6页珍藏版)》请在金锄头文库上搜索。

EDA技术实用教程课题报告课题名称:一位全减器的设计 院系:专业:电子信息工程组员: 设计流程:一、一位半减器的设计一位半减器程序如下; 其中x,y是输入,diff是输出差,s_out是借位输出, sub_in为借位输入。library ieee;use ieee.std_logic_1164.all;entity h_suber is port (x,y : in std_logic; diff,s_out : out std_logic ); end h_suber;architecture hdlarch of h_suber is begin process(x,y) begin diff = x xor y;s_out = (not x) and y; end process; end hdlarch;半减器逻辑表达式:半减器真值表:输入输出xydiffs_sout0000011110101100半减器波形图如下:半减器封装图:二、一位全减器的设计一位全减器设计结构图:sub_in为借位输入,clk是输入延迟信号用来消除仿真图中出现的毛刺现象一位全减器逻辑表达式:一位全减器真值表:输入输出xysub_indiffersub_out00000100100100111011101000111111101一位全减器波形图:一位全减器封装图:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号