外文翻译--基于FPGA的数字频率计设计

上传人:jiups****uk12 文档编号:90776136 上传时间:2019-06-16 格式:DOC 页数:13 大小:76.51KB
返回 下载 相关 举报
外文翻译--基于FPGA的数字频率计设计_第1页
第1页 / 共13页
外文翻译--基于FPGA的数字频率计设计_第2页
第2页 / 共13页
外文翻译--基于FPGA的数字频率计设计_第3页
第3页 / 共13页
外文翻译--基于FPGA的数字频率计设计_第4页
第4页 / 共13页
外文翻译--基于FPGA的数字频率计设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《外文翻译--基于FPGA的数字频率计设计》由会员分享,可在线阅读,更多相关《外文翻译--基于FPGA的数字频率计设计(13页珍藏版)》请在金锄头文库上搜索。

1、武汉轻工大学毕业设计外文参考文献译文本2013届原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88毕业设计题目:基于FPGA的数字频率计设计院(系):电气与电子工程学院 专业名称:电子信息科学与技术学生姓名: 学生学号: 指导教师: Introduction of digital frequency meterDigital Frequency is an indispensable instrument of communications equipment, audio and video, and other area

2、s of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of

3、the scene.1 、And other precision measuring frequency PrincipleFrequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF c

4、onversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals.Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitatio

5、ns, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurem

6、ent accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing

7、 capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automa

8、tic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error.The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Pr

9、eferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M signal Overflow will do, according to theoretical calculations GA TE time width T c can be greater than 42194

10、s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally 10 to 011 s in the inter-choice, that is, high - band, the gate time shorter, low gate longer. This time gate width based on the measured T c automatically adjust the size of fr

11、equency measurement frequency range to achieve the automatic conversion, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error.2、 Frequency of achievingFrequency Measurement accuracy of such method. Can be

12、 simplified as shown in the diagram. Map CNT1 and CNT2 two controllable counter, standard frequency (f) signal from the CN F1 clock input cI K input, the signal measured after the plastic (f) CNT2 clock input cI K input. Each counter in the CEN input as enable end, used to control the counter count.

13、 When the gate signal is HIGH Preferences (Preferences start time). Signal measured by the rising edge of the D flip-flop input, launched at the same time with two counts of juice; Similarly, when preferences for low gate signal (the end of Preferences time), the rising edge of the measured signals

14、through D Trigger output end of the counter to stop counting.3、And the median frequency of relevant indicatorsMedian: At the same time the figures show that up to the median. The usual eight-count frequency of only several hundred yuan can buy. For high precision measurements, nine just beginning, t

15、he middle is 11, 13 can be relatively high. Overflow of:-the ability to promote itself to overflow the equivalent of the total. Some of the frequency with overflow function, which is the highest overflow does not display only shows that the bit behind, in order to achieve the purpose of the median.

16、Here is the estimated value of individual indicators. Speed: namely, the number of per second. With the high number of measurement particularly slow but also lose its significance. Counting of the usual eight frequency measurement 10 MHz signals, one second gate will be 10000000 Hz, which is actually seven (equivalent to the median number of common admission after the value), to obtain eight needed 10 secon

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号