李国龙-201408010211-倒车雷达模块7

上传人:小** 文档编号:89491230 上传时间:2019-05-25 格式:DOC 页数:4 大小:78.50KB
返回 下载 相关 举报
李国龙-201408010211-倒车雷达模块7_第1页
第1页 / 共4页
李国龙-201408010211-倒车雷达模块7_第2页
第2页 / 共4页
李国龙-201408010211-倒车雷达模块7_第3页
第3页 / 共4页
李国龙-201408010211-倒车雷达模块7_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《李国龙-201408010211-倒车雷达模块7》由会员分享,可在线阅读,更多相关《李国龙-201408010211-倒车雷达模块7(4页珍藏版)》请在金锄头文库上搜索。

1、M 7 实 验 报 告实验日期:2015 年 12 月 学 号:201408010211姓 名:李国龙实验名称:声音的控制信号的产生总 分:IV.1 实验设计方案控制信号00030-60cm 实验框图:控制信号00160-100cm音频信号发生器,音量调节器距离(30-250cm)100-150cm控制信号010控制信号011150-200cm控制信号100200-250cm 文字说明:将距离分成五组,用八位二进制数表示,当第三步的距离送来时,会产生相应的控制信号,然后将这个控制信号送给音频信号发生器,音量调节器,之后喇叭发出一定响度的声音。 实验原理ESDDA-III型试验箱上有一个交流蜂鸣

2、器与芯片相连接,只要输入一定频率的脉冲就会发出声音。 依据产品规格设计该模块的具体参数 报警音量:70dB(含)-90dB(含)工作温度范围:-40-80测试距离:2.5M-0.3MIV.2 功能验证 实现代码:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mokuai_7 is port(clk:in std_logic;distance:in std_logic_vector(7 downto 0);contro

3、l:out std_logic_vector(2 downto 0);end;architecture beep of mokuai_7 isbeginprocess(clk,distance)beginif(clkevent and clk=1) thenif(distance00111100) then-60control=000;elsif(distance01100100) then-100control=001;elsif(distance10010110) then-150control=010;elsif(distance11001000) then-200control=011

4、;elsecontrol=100;end if;end if; end process;end beep; 波形图: 波形描述:从波形图可以看出,当时钟信号由低电平上升到高电平时开始起作用,从00000000到00111100,control为000,从00111101到01100100,control为001,从01100101到10010110,control为010,从10010111到11001000,control为011,其他control为100,成功实现了五组控制信号的产生。 数据记录参数设置:Grid Size:100ns,End Time:25us。 仿真结论仿真结果中,只

5、有当时钟信号由低电平上升到高电平时开始起作用,成功地由五组不同距离得到五组不同的控制信号,达到了预期效果,波形仿真逻辑功能验证正确。IV.3 硬件验证 芯片分配:Cyclone-EP1C12Q240C8. 硬件验证结论:对于不同范围的距离,喇叭的确能发出不同的声音,结果正确。IV.4 实验日志 2015年12 月 7日Q1. 不知道自己要做的是什么?A1. 依据不同倒车距离能选择产生相应五组控制信号。2015年12 月 7日Q1.总是以为喇叭发出声音这一过程需要自己写代码实现。A1.自己只需要产生控制信号,剩下的结合音频信号发生器和音量调节器交给喇叭处理即可。2015年12 月 9日Q1.忽略

6、了高电平有效这一条件。A1.加入了if(clkevent and clk=1) then作为判断。2015年12 月 9日Q1.不知道距离以及控制信号的设置。A1.距离采用八位二进制,控制信号采用三位二进制。2015年12 月 16日Q1.模块的合并不是简单的相加。A1.经过一系列整合得到最终的结果。IV.5 实验总结 本次实验是软硬件结合的实验,一开始做会感到不知所措,不知道要做些什么,加上自己对VHDL和硬件不熟悉,会烦躁,在同学的帮助下了解了自己的任务内容,成功的完成了一些任务,感觉还是蛮困难的。通过本次实验还是学到了一些东西,进一步熟悉了VHDL,对仿真验证更加熟悉,对硬件部分有了初步的了解,感受到了分工合作的好处以及完成时的喜悦,感觉还好。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号